CN101192534A - 半导体处理用的成膜装置及其使用方法 - Google Patents

半导体处理用的成膜装置及其使用方法 Download PDF

Info

Publication number
CN101192534A
CN101192534A CNA2007101963637A CN200710196363A CN101192534A CN 101192534 A CN101192534 A CN 101192534A CN A2007101963637 A CNA2007101963637 A CN A2007101963637A CN 200710196363 A CN200710196363 A CN 200710196363A CN 101192534 A CN101192534 A CN 101192534A
Authority
CN
China
Prior art keywords
gas
container handling
film
processed substrate
film forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101963637A
Other languages
English (en)
Other versions
CN101192534B (zh
Inventor
松浦广行
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101192534A publication Critical patent/CN101192534A/zh
Application granted granted Critical
Publication of CN101192534B publication Critical patent/CN101192534B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种具有处理容器的成膜装置的使用方法,该处理容器具有以选自由石英和碳化硅构成的组中的材料为主成分的内面。根据该方法,在前述处理容器内进行在制品用的被处理基板上形成硅氮化膜的成膜处理,接着,从前述处理容器卸载上述制品用的被处理基板。之后,将氧化气体供向没有容纳制品用的被处理基板的前述处理容器内,进行氧化处理,使附着在前述处理容器的前述内面上的副生成物膜在从其表面至规定深度的部分上变化为氧比氮丰富的状态。

Description

半导体处理用的成膜装置及其使用方法
技术领域
本发明涉及在半导体晶片等被处理基板上形成硅氮化膜的半导体处理用的成膜装置及其使用方法。
背景技术
所谓半导体处理是指通过在晶片或LCD(Liquid Crystal Display:液晶显示器)那样的FPD(Flat Panel Display:平板显示器)用的玻璃基板等被处理基板上,按规定的图形形成半导体层、绝缘层、导电层等,为了在该被处理基板上制造半导体器件或包含与半导体器件连接的布线、电极等的结构物,而实施的各种处理。
在半导体器件的制造工序中,通过CVD(Chemical VaporDeposition:化学气相沉积)等处理,在被处理基板(例如半导体晶片)上进行形成硅氮化膜等薄膜的处理。在这种成膜处理中,如下所述,在半导体晶片上形成薄膜。
首先,利用加热器将热处理装置的处理容器(反应管)加热至规定的装入(load)温度,装入容纳多块半导体晶片的晶舟(wafer boat)。接着,利用加热器将处理容器内加热至规定的处理温度,同时,从排气口排出处理容器内的气体,将处理容器内减压至规定的压力。
接着,将处理容器内维持为规定的温度和压力(继续排气),同时从处理气体导入管将成膜气体供向处理容器内。例如,在CVD中,当将成膜气体供向处理容器内时,成膜气体起热反应,生成反应生成物。反应生成物堆积在半导体晶片的表面上,在半导体晶片的表面上形成薄膜。
经成膜处理生成的反应生成物不仅堆积(附着)在半导体晶片的表面上,而且作为副生成物膜例如堆积(附着)在处理容器内面或各种夹具等上。当在副生成物膜附着在处理容器内的状态下,继续进行成膜处理时,因构成处理容器的石英和副生成物膜的热膨胀率不同而产生的应力,使石英或副生成物膜部分剥离。这样,产生颗粒,成为降低制造的半导体器件的成品率或使处理装置的零件劣化的原因。
因此,在多次进行成膜处理后,进行处理容器内的清洁。在清洁中,将清洁气体(例如氟和含卤素的酸性气体的混合气体)供向利用加热器被加热至规定温度的处理容器内。附着在处理容器内面等上的副生成物膜被清洁气体干腐蚀除去。在日本专利特开平3-293726号公报中公开有这种清洁方法。
为了抑制颗粒的产生,例如每次在半导体晶片上形成薄膜后洗涤热处理装置内部,最好频繁地洗涤热处理装置。但是,在这种情况下,热处理装置的停机时间多,生产率降低。
发明内容
本发明的目的是提供不降低生产率并且能够抑制颗粒的产生的半导体处理用的成膜装置及其使用方法。
本发明的第一观点为,一种具有处理容器的成膜装置的使用方法,该处理容器具有以选自由石英和碳化硅构成的组中的材料为主成分的内面,该使用方法包括下列工序:在前述处理容器内,进行在制品用的被处理基板上形成硅氮化膜的成膜处理的工序;接着,从前述处理容器卸载前述制品用的被处理基板的工序;然后,将氧化气体供向没有容纳制品用的被处理基板的前述处理容器内,进行使附着在前述处理容器的前述内面上的副生成物膜在从表面至规定深度的部分上变化为氧比氮丰富的状态的氧化处理的工序。
本发明的第二观点为,一种半导体处理用的成膜装置,它具有:容纳被处理基板的处理容器,该处理容器具有以选自由石英和碳化硅构成的组中的材料为主成分的内面;对前述处理容器内进行加热的加热器;对前述处理容器内进行排气的排气系统;将形成硅氮化膜用的成膜气体供向前述处理容器内的成膜气体供给系统;将用于进行副生成物膜的氧化处理用的氧化气体供向前述处理容器内的氧化气体供给系统;对前述处理容器装载或卸载前述被处理基板的机构;和对前述装置的动作进行控制的控制部,对前述控制部加以设定,使其执行以下工序:在前述处理容器内,进行在制品用的被处理基板上形成硅氮化膜的成膜处理的工序;接着,从前述处理容器卸载前述制品用的被处理基板的工序;然后,将氧化气体供向没有容纳制品用的被处理基板的前述处理容器内,进行使附着在前述处理容器的前述内面上的副生成物膜在从表面至规定深度的部分上变化为氧比氮丰富的状态的氧化处理的工序。
本发明的第三观点为,一种用于具有处理容器的成膜装置而被使用的、包含用于在处理器上执行的程序指令的能够由计算机读取的介质,该处理容器具有以选自由石英和碳化硅构成的组中的材料为主成分的内面,前述程序指令在通过处理器被执行时,控制前述装置,使其执行下列工序:在前述处理容器内,进行在制品用的被处理基板上形成硅氮化膜的成膜处理的工序;接着,从前述处理容器卸载前述制品用的被处理基板的工序;然后,将氧化气体供向没有容纳制品用的被处理基板的前述处理容器内,进行使附着在前述处理容器的前述内面上的副生成物膜在从表面至规定深度的部分上变化为氧比氮丰富的状态的氧化处理的工序。
附图说明
图1为表示本发明的实施方式的成膜装置(纵型CVD装置)的截面图;
图2为表示图1所示的装置的一部分的横截面的平面图;
图3为表示图1所示的装置的主控制部的结构的图;
图4为表示本发明的实施方式的成膜装置的使用方法的概略的图;
图5为表示本发明的实施方式的成膜处理和辅助处理的处理方案的时间图;
图6为表示本发明的其他实施方式的成膜装置(纵型热CVD装置)的截面图。
具体实施方式
以下,参照附图对本发明的实施方式加以说明。并且,在以下的说明中,具有大致相同的功能和结构的构成元件用相同的符号表示,只在必要时进行重复说明。
图1为表示本发明的实施方式的成膜装置(纵型CVD装置)的截面图。图2为表示图1所示的装置的一部分的横截面的平面图。成膜装置2具有能够选择地供给具有以下处理气体的处理区域:作为硅气体源(silicon source gas)的二氯甲硅烷(DCS:SiH2Cl2)气体的第一处理气体,具有作为氮化气体的氨(NH3)气的第二处理气体和具有作为辅助处理用的氧化气体的氧(O2)气的第三处理气体。成膜装置2以在这种处理区域内利用CVD在被处理基板上形成硅氮化膜的方式构成。另外,氨气虽然也可以作为辅助处理用的氮化气体(第四处理气体)使用,但是在以下,除了必要的情况,只与第二处理气体相关地对氨气进行说明。
成膜装置2具有下端开口的有顶部的园筒体形的处理容器4,该处理容器4容纳以一定间隔垒积的多个半导体晶片(被处理基板)并在内部规定对该半导体晶片进行处理的处理区域5。处理容器4的全体例如由石英(或碳化硅(SiC))形成。在处理容器4内的顶部配置相同材料制的顶板6并密封。制作成园筒体形的总管(manifold)8通过O形圈等密封部件10与处理容器4的下端开口连接。并且,也能够不另外设置总管8,用园筒体形的石英(或碳化硅)制的处理容器构成全体。
总管8例如由不锈钢制成,支承处理容器4的下端。石英(或碳化硅)制的晶舟12通过总管8的下端开口升降,于是,将晶舟12装入处理容器4中或从其中卸载。在晶舟12上,作为被处理基板,将多块半导体晶片W多层载置。例如,在本实施方式中,在晶舟12的支柱12A上,能够以大致相等的间距,分多层支承例如50~100块直径为300mm的晶片W。
晶舟12通过石英(或碳化硅)制的保温筒14载置在工作台16上。工作台16支承在贯通用来开闭总管8的下端开口的例如不锈钢制的盖体18的回转轴20上。
在回转轴20的贯通部分上设置磁性流体密封部件22,将回转轴20密封并支撑它能够旋转。在盖体18的周边和总管8的下端设置例如由O形圈等构成的密封部件24,保持容器内的密封性。
回转轴20安装在例如由晶舟升降机等升降机构25支撑的臂26的前端。晶舟12和盖体18等通过升降机构25能够一体地升降。并且,也可以将工作台16固定并设置在盖体18侧,不使晶舟12转动即可进行晶片W的处理。
在总管8的侧面连接用于将规定的处理气体供向处理容器4内的处理区域5的气体供给部。气体供给部包括第一处理气体供给系统30,第二处理气体供给系统32,第三处理气体供给系统34和惰性气体供给系统36。第一处理气体供给系统30供给具有作为硅源气体的二氯甲硅烷(DCS:SiH2Cl2)气体的第一处理气体。第二处理气体供给系统32供给具有作为氮化气体的氨气(NH3)的第二处理气体。第三处理气体供给系统34供给具有作为辅助处理用的氧化气体的氧气(O2)的第三处理气体。惰性气体供给系统36供给作为稀释用、排气(purge)用或压力控制用的惰性气体(例如N2气)。在第一~第三处理体中,可根据需要,混合适当量的载气(N2等稀释气体),以下,为了使说明简单,不谈及载气。
具体而言,第一处理气体供给系统30和惰性气体供给系统36具有共同的气体分散喷嘴40,第二和第三处理气体供给系统32、34具有共同的气体分散喷嘴42。各个气体分散喷嘴40、42由向内侧贯通总管8的侧壁并向上方向弯曲延伸的石英(或碳化硅)管构成(参考图1)。在各气体分散喷嘴40、42上,以沿着其长度方向(上下方向)并且涉及晶舟12上的全体晶片W的方式,隔着规定的间隔形成有多个气体喷射孔40A、42A。气体喷射孔40A、42A以对晶舟12上的多个晶片W形成平行的气体流的方式,在水平方向大致均匀地分别供给对应的处理气体。
第一处理气体供给系统30和惰性气体供给系统36能够以分别具有各自的气体分散喷嘴的方式构成。同样,第二和第三处理气体供给系统32、34能够以分别具有各自的气体分散喷嘴的方式构成。
喷嘴40通过气体供给管路(气体通路)50、56分别与DCS气体和N2气的气体源30S、36S连接。喷嘴42通过气体供给管路(气体通路)52、54与NH3气和O2气的气体源32S、34S连接。在气体供给管路50、52、54、56上配置开闭阀50A、52A、54A、56A和质量流量控制器那样的流量控制器50B、52B、54B、56B。于是,能够分别对DCS气体,NH3气,O2气和N2气进行流量控制的同时供给。
在处理容器4的侧壁的一部分上,沿着其高度方向配置气体激励部66。为了对内部气氛进行真空排气,在与气体激励部66相对的处理容器4的反对一侧,配置例如通过向上下方向削去处理容器4的侧壁而形成的细长的排气口68。
具体而言,气体激励部66具有以规定的宽度沿着上下方向削去处理容器4的侧壁形成的上下细长的开口70。开口70被与处理容器4的外壁密封地焊接接合的石英(或碳化硅)制的盖72覆盖。盖72以向处理容器4的外侧突出的方式形成有截面凹部,并且具有上下细长的形状。
通过这种结构,形成从处理容器4的侧壁突出并且一侧向处理容器4内开口的气体激励部66。即,气体激励部66的内部空间与处理容器4内的处理区域5连通。开口70以能够在高度方向覆盖保持在晶舟12上的全部晶片W的方式在上下方向足够长地形成。
在盖72的两侧壁的外侧面上,沿着其长度方向(上下方向)以互相相对的方式配置一对细长的电极74。等离子体发生用的高频电源76通过供电线路78与电极74连接。通过将例如13.56MHz的高频电压施加在电极74上,在一对电极74之间形成激励等离子体用的高频电场。并且,高频电压的频率不限于13.56MHz,也可以使用其他频率(例如400kHz等)。
第二和第三处理气体的气体分散喷嘴42处在比晶舟12上的最下层的晶片W还低的位置,向处理容器4的半径方向的外侧弯曲。然后,气体分散喷嘴42在气体激励部66内的最里面(离开处理容器4的中心最远的部分)的位置上垂直立起。如图2所示,气体分散喷嘴42设置在由一对相对的电极74夹住的区域(高频电场最强的位置),即比实际发生主要的等离子体的等离子体发生区域PS向外侧偏离的位置。具有从气体分散喷嘴42的气体喷射孔42A喷射的NH3气的第二处理气体或具有O2气的第三处理气体向着等离子体发生区域PS喷射,在此被激励(分解或激活),在包含氮原子的自由基(N*,NH*,NH2 *,NH3 *)或包含氧原子的自由基(O*,O2 *)等的状态下,供向晶舟12上的晶片W(符号“*”表示自由基)。
在盖72的外侧,以覆盖该盖72的方式安装例如由石英(或碳化硅)构成的绝缘保护盖80。在绝缘保护盖80的内侧并与电极74相对的部分上,配置由冷却剂通路构成的冷却机构(未图示)。通过使作为冷却剂的被冷却过的氮气在冷却剂通路中流动,冷却电极74。为了防止高频泄漏,在绝缘保护盖80的外侧配置覆盖该绝缘保护盖80的屏蔽板(未图示)。
在气体激励部66的开口70的外侧附近,即开口70的外侧(处理容器4内)的一侧,配置第一处理气体和惰性气体的气体分散喷嘴40,使其垂直立起。从在气体分散喷嘴40上形成的气体喷射孔40A,向着处理容器4的中心方向,喷射具有DCS气体的第一处理气体或具有N2的惰性气体。
另一方面,在与气体激励部66相对设置的排气口68上,通过焊接安装由石英(或碳化硅)构成的截面被形成为コ形的排气口盖部件82,以覆盖排气口68。排气口盖部件82沿着处理容器4的侧壁向上方延伸,在处理容器4的上方形成气体出口84。配置有真空泵等的真空排气系统GE与气体出口84连接。
以包围处理容器4的方式,配置用于加热处理容器4内的气氛和晶片W的加热器86。在处理容器4内的排气口68附近配置控制加热器86的热电偶(未图示)。
并且,成膜装置2具有由控制装置全体的动作的计算机等构成的主控制部100。图3为表示主控制部100的结构的图。如图3所示,在主控制部100上连接有:操作面板121,温度传感器(组)122,压力计(组)123,加热器控制器124,MFC125(与图1的流量控制器50B、52B、54B、56B对应),阀控制部126,真空泵127(与图1的真空排气系统GE对应),晶舟升降机128(与图1的升降机构25对应),和等离子体控制部129等。
操作面板121具有显示画面和操作按钮,将操作者的操作指示传给主控制部100,并且,能够在显示画面上显示来自主控制部100的各种信息。温度传感器(组)122测定处理容器4内和排气管内的各部分的温度,将测定值通知主控制部100。压力计(组)123测定处理容器4内和排气管内的各部分的压力,将测定值通知主控制部100。
加热器控制器124用于个别地控制加热器86的各部分。加热器控制器124响应来自主控制部100的指示,给加热器86的各部分通电并将它们加热。并且,加热器控制器124还个别地测定加热器86的各部分的消耗功率,通知主控制部100。
MFC125配置在气体供给管路的配管上,MFC125将在各配管中流动的气体流量控制为主控制部100指示的量。并且,MFC125还实际测定流动的气体流量,通知主控制部100。
阀控制部126配置在各配管上,将配置在各配管上的阀的开度控制为主控制部100指示的值。真空泵127与排气管连接,排出处理容器4内的气体。
通过使盖体18上升,晶舟升降机128将载置在旋转工作台16上的晶舟11(半导体晶片W)装入处理容器4内,另外,通过使盖体18下降,晶舟升降机128还能够将载置在旋转工作台16上的晶舟11(半导体晶片W)从处理容器4内卸载。
等离子体控制部129响应来自主控制部100的指示,控制气体激励部66。于是,激活供向气体激励部66内的氨气、氧气,生成自由基。
主控制部100包括处理方案存储部111,ROM112,RAM113,I/O端口114和CPU115。它们通过总线116互相连接,并且通过总线116在各部分之间传递信息。
在处理方案存储部111中存储有设置(set up)用处理方案和多个处理(process)用处理方案。在成膜装置2的制造初期只存储有设置用处理方案。设置用处理方案在生成与各成膜装置相应的热模型时执行。处理用处理方案是使用者每次实际进行热处理时准备的处理方案。处理用处理方案对从将半导体晶片W装入处理容器4到卸载处理完毕的晶片W期间的各部分的温度变化,处理容器4内的压力变化,处理气体供给的开始以及停止的时间和供给量等进行规定。
ROM112由EEPROM、闪存、硬盘等构成,是用于存储CPU115的动作程序等的存储介质。RAM113作为CPU115的工作区域等发挥作用。
I/O端口114与操作面板121,温度传感器122,压力计123,加热器控制器124,MFC125,阀控制部126,真空泵127,晶舟升降机128,等离子体控制部129等连接,对数据或信号的输入输出进行控制。
CPU(Central Processing Unit:中央处理单元)115构成主控制部100的中枢。CPU115执行存储在ROM112中的控制程序,根据来自操作面板121的指示,并且根据存储在处理方案存储部111中的处理方案(处理用处理方案),控制成膜装置2的动作。即CPU115使温度传感器(组)122、压力计(组)123、MFC125等测定处理容器4内和排气管内的各部分的温度、压力、流量等。并且,CPU115根据该测定数据,将控制信号等输出至加热器控制器124、MFC125、阀控制部126、真空泵127等,控制上述各部分,使它们遵循处理用处理方案。
接着,利用图1所示的装置,对包含在主控制部100的控制下进行的成膜方法(所谓ALD(原子层沉积:Atomic Lay Deposition)或MLD(分子层沉积:Molecular Layer Diposition)成膜)的成膜装置的使用方法加以说明。图4为表示本发明的实施方式的成膜装置的使用方法的概略的图。
(成膜处理的概略)
如图4所示,在该实施方式的方法中,首先通过CVD在半导体晶片W上进行形成硅氮化膜的成膜处理。在对各批晶片W的成膜处理中,交替将具有作为硅源气体的二氯甲硅烷(DCS:SiH2Cl2)气体的第一处理气体和具有作为氮化气体的NH3气的第二处理气体供向容纳晶片W的处理区域5内。
将具有DCS气体的第一处理气体从气体分散喷嘴40的气体喷射孔40A以形成平行的气体流的方式供向晶舟12上的多个晶片W。在此期间,DCS气体的分子或因其分解而产生的分解生成物的分子或原子吸附在被预处理过的晶片的表面上,形成吸附层(吸附阶段)。
将具有NH3气体的第二处理气体从气体分散喷嘴42的气体喷射孔42A以形成平行的气体流的方式供向晶舟12上的多个晶片W。第二处理气体在通过一对电极74间的等离子体发生区域PS时,有选择地被激励,一部分成为等离子体。这时,生成包含氮原子的自由基(N*,NH*,NH2 *,NH3 *)。这些自由基从气体激励部66的开口70流向处理容器4的中心,在层流状态下供向晶片W的相互之间。当将包含氮原子的自由基供向晶片W上时,就会与晶片W上的吸附层的Si发生反应,于是,在晶片W上形成硅氮化物的薄膜(氮化阶段)。
对1批晶片W按顺序交替地(例如M=100次)重复上述吸附和氮化阶段。即,多次重复由吸附和氮化阶段构成的循环,通过层叠每次循环所形成的硅氮化物的薄膜,得到最终厚度的硅氮化膜。
(辅助处理的概略)
在对N批的晶片W进行这种成膜处理后(进行N次成膜处理后),对附着在处理容器4内的、以硅氮化物为主成分(意味在50%以上)的副生成物膜进行辅助处理。通过多次反复成膜处理,在硅氮化膜的累积膜厚超过规定值之前进行辅助处理。在辅助处理中,首先将具有作为氧化气体的O2气的第三处理气体供向没有容纳制品用的被处理基板的处理容器4内,接着供给具有作为氮化气体的NH3气的第4处理气体。
以对晶舟12上的多个晶片W形成平行的气体流的方式,从气体分散喷嘴42的气体喷射孔42A供给具有O2气的第三处理气体。第三处理气体在通过一对电极74间的等离子体发生区域PS时,有选择地被激励,一部分成为等离子体。这时,生成包含氧原子的自由基(O*,O2 *)。这些自由基从气体激励部66的开口70流向着处理容器4的中心,在层流状态下供向晶片W的相互间。利用包含供向晶片W的氧原子的自由基,进行对副生成物膜的氧化处理,使副生成物膜在从其表面至1~10nm深度、优选为2~5nm深度的部分,变为氧比氮丰富的状态(硅氧化物或硅氧氮化物)。
以对晶舟12上的多个晶片W形成平行的气体流的方式,从气体分散喷嘴42的气体喷射孔42A供给具有NH3气的第四处理气体。第四处理气体在通过一对电极74间的等离子体发生区域PS时,有选择地被激励,一部分成为等离子体。这时,生成包含氮原子的自由基(N*,NH*,NH2,NH3 *)。这些自由基从气体激励部66的开口70流向着处理容器4的中心,在层流状态下供向晶片W的相互之间。利用包含供向晶片W上的氮原子的自由基,进行对氧化的副生物膜的表面层的表面氮化处理,使该氧化的副生物膜的表面层(厚度为0.1~1nm,优选为0.1~0.5nm,进一步优选为0.2~0.5nm)回到氮比氧丰富的状态。
通过这种辅助处理,副生物膜成为其内部由硅氧化物构成、其表面层由硅氮化物构成的状态。因此,副生成物膜的膜收缩率和膜应力减小,难以引起膜剥离。结果是,不降低生产率就能够抑制颗粒的产生。
图5为表示本发明的实施方式的成膜处理和辅助处理的处理方案的时间图。以下,参照图5对成膜处理和辅助处理具体地加以说明。
(成膜处理)
首先,将保持多块(例如50~100块)300mm尺寸的硅晶片W的常温的晶舟12装入设定为规定温度的处理容器4内,然后密闭处理容器4。接着,将处理容器4内抽真空,维持为规定的处理压力,同时,使晶片温度上升,在稳定在成膜用的处理温度之前待机。接着,如以下所述,执行用氨活性种处理晶片W的表面的预处理阶段。并且,在预处理阶段和包含上述吸附和氮化的成膜处理中,持续转动晶舟12。
在预处理阶段,首先,如图5(c)所示将规定量的氮气供向处理区域5内。与此同时,如图5(a)所示,将处理区域5内设定为规定温度(例如550℃)。另外,如图5(b)所示,排出处理区域5内的气体,将处理区域5设定为规定压力(例如45Pa(0.34Torr:133Pa=1Torr))。并且,进行这个操作直到处理区域5稳定在规定压力和温度下为止(稳定化工序)。
当处理区域5在规定压力和温定下稳定时,如图5(g)所示,向电极11之间施加(RF:ON)高频电力。与此同时,如图5(e)所示,将规定量(例如5slm(每分标准升:standard liter per minute))的氨气供向一对电极11之间(气体激励部66内)。使供向一对电极11之间的氨气等离子体激励(活性化),生成氨自由基。将这样生成的自由基从气体激励部66供向处理区域5内。另外,如图5(c)所示,将规定量的氮气供向处理区域5内(供气工序)。
在此,将处理区域5内的温度设定为25℃(室温)~800℃。当比室温低时,担心不能形成硅氮化膜。当处理区域5内的温度比800℃高时,担心形成的硅氮化膜的膜质、膜厚的均匀性等恶化。优选使处理区域5内的温度为100℃~800℃,进一步为400℃~650℃。通过在这个范围进行的处理的温度,能够更加提高形成的硅氮化膜的膜质、膜厚的均匀性等。
氨气的供给量优选为10sccm~50slm。通过在这个范围进行的处理,能够顺利地产生等离子体,同时能够供给足够的自由基用于对半导体晶片W的表面进行预处理。
RF功率优选为10W~1500W。当比10W少时,难以生成自由基。当超过1500W时,担心构成气体激励部66的石英(或碳化硅)壁会受损坏。RF功率进一步优选为50W~500W,更加优选为100W~500W。通过在这个范围进行的处理,能够高效地生成自由基。
处理区域5内的压力优选为0.133Pa(1mTorr)~13.3kPa(100Torr)。通过利用这个范围的压力,容易产生自由基,并且处理区域5内的自由基的平均自由行程变大。处理区域5内的压力进一步优选为40~100Pa。通过利用该范围的压力,容易进行处理区域5内的压力控制。
在供给规定时间的氨气后,停止氨气的供给,同时停止施加高频电力。另一方面,如图5(c)所示,持续将规定量的氮气供向处理区域5内。然后,对处理区域5内进行排气,于是,将处理区域5内的气体排出至处理区域5外(排气工序)。
并且,在成膜顺序上,成膜处理中,优选不改变处理区域5内的温度。为此,在本实施方式中,在上述预备、吸附和氮化阶段,将处理区域5内的温度设定为550℃。并且,在上述预备、吸附和氮化阶段持续对处理区域5内进行排气。
接着,在吸附阶段,首先,如图5(c)所示,将规定量的氮气供向处理区域5内,并如图5(a)所示将处理区域5设定为规定温度(例如550℃)。另外,对处理区域5内进行排气,如图5(b)所示将处理区域设定为规定压力(例如600Pa(4.6Torr))。进行这个操作直到处理区域5在规定的压力和温度下稳定为止(稳定化工序)。
当处理区域5内在规定的压力和温度下稳定时,如图5(d)所示将规定量的DCS气体(例如2slm),并如图5(c)所示将规定量的氮气供向处理区域5内(供气工序)。供向处理区域5内的DCS在处理区域5内被加热而活性化,在晶片W表面形成吸附层。
在上述的预处理阶段,当利用氨自由基对晶片W的表面进行预处理时,利用-NH2基置换在晶片W表面上存在的-OH基的一部分和-H基的一部分。于是,当开始吸附阶段时,在晶片W的表面上存在-NH2基。如果在这个状态下供给DCS,晶片W表面的-NH2基和因热而活性化的DCS反应,促进晶片W表面的Si的吸附。
DCS气体的供给量优选为10sccm~10slm。如果比10sccm少,就担心不能向晶片W供给充足的DCS。如果比10slm多,就担心对晶片W的吸附有帮助的DCS的比例过低。DCS气体的供给量进一步优选为0.5slm~3slm。通过在这个范围进行的处理,促进对晶片W的DCS的吸附。
处理区域5内的压力优选为0.133Pa~13.3kPa。通过在这个范围的压力下进行的处理,可促进对晶片W的DCS的吸附。处理区域5内的压力进一步优选为40~800Pa。通过在这个范围的压力下进行的处理,处理区域5内的压力控制变容易。
在供给规定时间的DCS气体后,停止DCS气体的供给。另一方面,如图5(c)所示,持续将规定量的氮气供向处理区域5内。然后,对处理区域5内进行排气,通过这样,将处理区域5内的气体排出至处理区域5外(排气工序)。
接着,在氮化阶段,首先如图5(c)所示,将规定量的N2气供向处理区域5内,同时如图5(a)所示,将处理区域5内设定为规定的温度(例如550℃)。另外,对处理区域5内进行排气,如图5(b)所示,将处理区域5内设定为规定压力(例如45Pa(0.34Torr))。进行这个操作直到处理区域5在规定的压力和温度下稳定为止(稳定化工序)。
当处理区域5在规定压力和温度下稳定时,如图5(g)所示,向电极11之间施加(RF:ON)高频电力。与此同时,如图5(e)所示,将规定量(例如1slm)的氨气供向一对电极11之间(气体激励部66内)。供向一对电极11之间的氨气被等离子体激励(活性化),生成包含氮原子的自由基(N*、NH*、NH2 *、NH3 *)。将这样生成的包含氮原子的自由基从气体激励部66供向处理区域5内。另外,如图5(c)所示,将规定量的氮气供向处理区域5内(供气工序)。
在此,氨气的供给量优选为10sccm~50slm。通过在这个范围进行的处理,能够顺利地产生等离子体,同时能够供给足够的自由基用于将晶片W上的吸附层Si氮化。氨气的供给量进一步优选为0.5slm~5slm。通过在这个范围进行的处理,能够稳定地产生等离子体。
RF功率优选为10W~1500W。当比10W少时,难以生成自由基。当超过1500W时,担心构成气体激励部66的石英(或碳化硅)壁会受损坏。RF功率进一步优选为50W~500W,更加优选为100W~500W。通过在这个范围进行的处理,能够高效地生成自由基。
处理区域5内的压力优选为0.133Pa~13.3kPa。通过在这个范围进行的处理的压力,容易产生自由基,并且处理区域5内的自由基的平均自由行程变大。处理区域5内的压力进一步优选为40Pa~400Pa。通过在这个范围进行的处理的压力,容易进行处理区域5内的压力控制。
在供给规定时间的氨气后,停止氨气的供给,同时停止施加高频电力。另一方面,如图5(c)所示,持续将规定量的氮气供向处理区域5内。然后,对处理区域5内进行排气,这样,将处理区域5内的气体排出至处理区域5外(排气工序)。
在这个实施方式的成膜方法中,将包含吸附和氮化阶段的循环按顺序交替地反复进行例如图4的M=100次。在各个循环中,将DCS供向晶片W,形成吸附层,接着,供给包含氮原子的自由基,通过将吸附层氮化,形成硅氮化膜。这样,能够在高效率并且高品质的状态下形成硅氮化膜。
当在晶片W上形成所希望厚度的硅氮化膜时,就卸载晶片W。具体而言,将规定量的氮气供向处理区域5内,使处理区域5内的压力回复至常压,同时,将处理区域5内维持在规定温度。然后,通过利用晶舟升降机25使盖体18下降,能够与晶片W一起,将晶舟12从处理容器4卸载。
(辅助处理)
如果多次进行以上的成膜处理,由成膜处理生成的硅氮化物,不但堆积(附着)在半导体晶片W的表面上,而且作为副生成物膜堆积(附着)在处理容器4的内面等上。因此,在进行规定次数(在图4中为N次)的成膜处理后,对附着在处理容器4的内面等上的以硅氮化物为主成分(意味在50%以上)的副生成物膜进行以下的辅助处理,防止发生颗粒。在附着在处理容器4的内面等上的副生成物膜超过规定的膜厚前进行该辅助处理。在本实施方式中,将在硅氮化膜的累积膜厚超过规定值前进行辅助处理的处理用处理方案存储在处理方案存储部111中。
所谓累积膜厚指经过多次重复成膜处理累积的硅氮化膜的合计膜厚。例如,当在半导体晶片W上进行了10次形成30nm的硅氮化膜的成膜处理时,累积膜厚为0.03×10=0.3μm。另外,所谓累积膜厚的规定值是根据在被处理基板上形成的薄膜以及成膜用气体的种类而决定的值。当在被处理基板上形成超过这个值的薄膜时,附盖在处理容器4的内面等上的副生成物膜剥离(发生裂纹),容易产生颗粒。
当进行该辅助处理时,在卸下晶片W后,接着将先前处理中使用的晶舟12,在不搭载晶片W的空的状态下,装入处理容器4内,密闭处理容器4。接着,如以下所述,依次进行对副生成物膜进行氧化的氧化阶段和对氧化的副生成物膜的表面进行氮化的表面氮化阶段。
并且,在辅助处理中,优选使处理区域5内的温度接近成膜处理中使用的温度。这样,温度控制变容易。即,在辅助处理中,处理容器4内的温度(容器内面的温度)设定为25℃(室温)~800℃,优选为100℃~800℃,进一步优选为400℃~650℃。例如,从成膜处理到辅助处理,包含上述卸载工序和装入工序,能够将处理容器4内的温度维持为550℃。
在氧化阶段,首先如图5(c)所示将规定量的氮气供向处理容器4内,同时例如如图5(a)所示,将处理容器4设定为规定的温度(例如550℃)。并且,对处理容器4内进行排气,例如如图5(b)所示,将处理容器4设定为规定压力(例如100Pa)。
接着,例如如图5(g)所示,将高频电力施加在电极11之间(RF:ON)。与此同时,例如如图5(f)所示,将规定量(例如3slm)的氧气供向一对电极11之间(气体激励部66内)。供向一对电极11之间的氧气被等离子体激励(活性化),生成包含氧原子的自由基(O*,O2 *)。将包含这样生成的氧原子的自由基从气体激励部66供向处理容器4内。并且,如图5(c)所示,将规定量的氮气供向处理容器4内(供气工序)。
氧气的供给量优选为1sccm~10slm。通过在这个范围进行的处理,能够顺利地产生等离子体,同时能够供给足够的自由基用于对副生成物膜进行氧化。氧气的供给量进一步优选为1slm~5slm。通过在这个范围进行的处理,能够稳定地产生氧等离子体。
RF功率优选为10W~1500W。当比10W少时,难以生成自由基。当超过1500W时,担心构成气体激励部66的石英(或碳化硅)壁会受损坏。RF功率进一步优选为50~500W,更加优选为100W~500W。通过在这个范围进行的处理,能够高效地生成自由基。
处理容器4内的压力优选为0.133Pa~13.3kPa。利用这个范围的压力,容易产生自由基,并且处理容器4内的自由基的平均自由行程变大。处理容器4内的压力进一步优选为30Pa~300Pa。利用这个范围的压力,容易对处理容器4内的压力进行控制。
利用供向晶片W上的包含氧原子的自由基,对以附着在处理容器4的内面等上的硅氮化物为主成分的副生成物膜进行氧化。设定该氧化阶段的处理条件,使副生成物膜在从表面至足够深的部分上变化为氧比氮丰富的状态(硅氧化物或硅氧氮化物)。
在氧化阶段,副生成物膜的被氧化的深度比1nm大,优选为比2nm大。如果该深度(即形成的氧化膜或氧氮化膜的厚度)比1nm小,就不能充分地得到应力缓和的效果。另一方面,副生成物膜的被氧化的深度比10nm小,优选为比5nm小。如果该深度比10nm大,由于氧化时间长,装置的生产率就降低。当副生成物膜的厚度比该深度的上限值(10nm,优选为5nm)小时,实质上在该深度方向的全体上,变化为氧化氮丰富的状态。
供给规定时间的氧气后,停止氧气的供给,同时停止施加高频电力。另一方面,如图5(c)所示,持续将规定量的氮气供向处理容器4内。于是,对处理容器4内进行排气,这样,将处理容器4内的气体排出至处理容器4外(排气工序)。
接着,在表面氮化阶段,首先如图5(c)所示,将规定量的氮气供向处理容器4内,并且如图5(a)所示,将处理容器4内设定为规定的温度(例如550℃)。另外,如图5(b)所示,对处理容器4内进行排气,将处理容器4设定为规定的压力(例如75Pa)。
接着,如图5(g)所示,将高频电力施加(RF:ON)在电极11之间。与此同时,如图5(e)所示,将规定量(例如3slm)的氨气供向一对电极11之间(气体激励部66内)。供向一对电极11之间的氨气被等离子体激励(活性化),生成包含氮原子的自由基(N*、NH*、NH2 *、NH3 *)。将包含这样生成的氮原子的自由基从气体激励部66供向处理容器4内。另外,如图5(c)所示,将规定量的氮气供向处理容器4内(供气工序)。
在此,氨气的供给量优选为10sccm~50slm。通过在这个范围进行的处理,能够顺利地产生等离子体,同时,能够供给足够的自由基用于对被氧化过的副生成物膜的表面层进行氮化。氨气的供给量进一步优选为1slm~5slm。通过在这个范围进行的处理,能够稳定地产生氨等离子体。
RF功率优选为10W~1500W。当比10W少时,难以生成自由基。当超过1500W时,担心构成气体激励部66的石英(或碳化硅)壁会受损坏。RF功率进一步优选为50W~500W,更加优选为100W~500W。通过在这个范围进行的处理,能够高效地生成自由基。
处理容器4内的压力优选为0.133Pa~13.3kPa。利用这个范围的压力,容易产生自由基,并且处理容器4内的自由基的平均自由行程变大。处理容器4内的压力进一步优选为50Pa~100Pa。利用这个范围的压力,容易对处理容器4内的压力进行控制。
利用包含供向晶片W上的氮原子的自由基,将被氧化过的副生成物膜(硅氧化物或硅氧氮化物)的表面层氮化。设定氮化阶段的处理条件,使被氧化过的副生成物膜中厚度为0.1~1nm、优选为0.1~0.5nm、进一步优选为0.2~0.5nm的表面层回到氮比氧丰富的状态。
经过上述氧化和氮化阶段的副生物膜的内部由硅氧化物或硅氧氮化物构成,其表面由硅氮化物构成。与硅氮化物比较,硅氧化物或硅氧氮化物与构成处理容器4等的石英(或碳化硅)的热膨胀率的差小。因此,例如即使处理容器4内的温度降低,副生成物膜的膜收缩率、膜应力减小,难以引起膜剥离。因此,能够大幅增大硅氮化膜的累积膜厚的极限值。结果是不降低生产率就能够抑制颗粒的发生。
另外,副生成物膜的表面为SiN,即使在辅助处理后进行成膜处理,也对经成膜处理形成的硅氮化膜的成膜性能没有不利影响。因此,能够维持成膜处理的再现性。
在供给规定时间的氨气后,停止氨气的供给,同时,停止施加高频电力。另一方面,如图5(c)所示,持续将规定量的氮气供向处理容器4内。于是,对处理容器4内进行排气,这样,就将处理容器4内的气体排出至处理容器4外(排气工序)。
接着,将规定量的氮气供向处理容器4内,使处理容器4内的压力回复至常压,同时,将处理容器4内维持为规定温度。然后,通过利用晶舟升降机25使盖体18下降,从处理容器4卸载空的晶舟12。
(辅助处理后)
通过上述辅助处理,硅氮化膜的累积膜厚的极限值增大,如图4所示,在上述的图5所示的条件下,再次进行成膜处理(成膜处理N+1)。在形成的硅氮化膜的累积膜厚超过别的规定值前,例如重复成膜处理至成膜处理2N后,再次进行辅助处理。这样,反复进行成膜处理和辅助处理,如图4所示,进行至成膜处理XN。
这样,能够不除去附着在处理容器4的内面等上的副生成物膜,就能够连续进行硅氮化膜的成膜处理。因此,能够增加在规定时间内进行硅氮化膜的成膜处理的次数,不降低生产率就能够抑制颗粒的发生。
为了确认本实施方式的效果,对由辅助处理得到的硅氮化膜的累积膜厚的极限值进行实验。这时的处理条件的基准如上述实施方式所述。在没有进行辅助处理的情况下,累积膜厚的极限值为0.3μm~0.8μm。另一方面,在进行辅助处理的情况下,累积膜厚的极限值为1.0μm~8μm,为没进行辅助处理时的3~10倍。
(其他实施方式)
图6为表示本发明的其他实施方式的成膜装置(纵型热CVD装置)的截面图。为了使氮化气体和氧化气体活性化,能够不利用先前的实施方式中所述的等离子体,而使用触媒,UV(紫外线),热,磁力等其他介质。例如,在利用热使处理气体活性化的情况下,能够使用图6所示的热处理装置。
在图6所示的成膜装置2X中,在处理容器的顶部形成与真空排气系统连接的气体出口84,短的L字形的喷嘴40,42与处理容器4的底部连接。因此,从放置支撑晶片W的晶舟12的处理区域5的下方的喷嘴40、42的供给口供给处理气体,该处理气体通过处理区域5后,从上方的气体出口84排出。喷嘴40与具有硅源气体的第一处理气体供给系统和惰性气体供给系统连接。喷嘴42与具有氮化气体的第二处理气体供给系统和具有氧化气体的第三处理气体供给系统连接。利用配置在处理容器4周围的加热器86对处理区域5进行加热。
在使用这种热处理装置的情况下,能够通过将处理气体导入被加热至规定温度的处理区域5而使处理气体活性化。处理区域5的温度设定为能够使供给的处理气体活性化的温度。
(变更例)
在上述实施方式中,在辅助处理的氧化阶段,使用氧(氧自由基)作为氧化气体。关于这点,就氧化气体而言,能够使用从由氧、臭氧(O3)、水蒸气(H2O)构成的组选择的1种以上的气体。当使用臭氧使副生成物膜氧化时,例如在处理容器4的外部设置臭氧发生器,将臭氧供向处理容器4内。另外,将处理容器4内的温度(容器内面的温度)设定为400℃~800℃,优选为400℃~550℃。利用这个范围内的温度,臭氧不会失去活性,能够使副生成物膜氧化。
在上述实施方式中,在辅助处理的氧化阶段后,进行对被氧化过的副生成物膜的表面进行氮化的氮化阶段。但是,即使在不进行该氮化阶段的情况下,也能够不降低生产率就能够抑制颗粒的发生。
在上述实施方式中,使用DCS和氨作为成膜用气体。能够使用六氯二硅(HCD)和氨来代替。并且,作为氮化气体,也能够使用N2O、NO、NO2这样的氧化氮代替氨。
在上述实施方式中,例示有在供给DCS气体等处理气体时、供给氮气作为稀释气体的情况。关于这点,在供给处理气体时也可以不供给氮气。但是,因为通过将氮气作为稀释气体而加以包含、容易对处理时间进行设定,所以优选包含稀释气体。就稀释气体而言,优选惰性气体,除氮气以外,例如可以使用氦气(He),氖气(Ne),氩气(Ar),氙气(Xe)。
在上述实施方式中,DCS气体和氮气从共同的气体供给喷嘴供给,氧气和氨气从共同的气体供给喷嘴供给。也可以不这样,对每种气体均配置气体供给喷嘴。另外,也可以将多个气体供给喷嘴插入处理容器4的下端附近的侧面中,以便从多个喷嘴导入相同的气体。在这种情况下,因为从多个气体供给喷嘴将处理气体供向处理容器4内,所以能够更均匀地将处理气体导入处理容器4内。
在上述实施方式中,作为成膜装置使用单管结构的批量式热处理装置。作为代替,本发明例如能够适用在处理容器为由内管和外管构成的二层管结构的批量式纵型热处理装置中。另外,本发明也能够在单片式的热处理装置中使用。被处理基板不限于半导体晶片W,例如也可以是LCD用的玻璃基板。
成膜装置的控制部100,不利用专用的系统,使用通常的计算机系统就能够实现。例如,通过将用于执行上述处理的程序从容纳该程序的存储介质(软盘、CD-ROM等)安装到通用计算机中,就能够构成执行上述处理的控制部100。
用于供给这些程序的装置是任意的。除了如上所述通过规定的存储介质供给程序外,例如也可以通过通信线路、通信网络、通信系统等供给程序。在这种情况下,例如也可以将该程序公布在通信网络的公告板(BBS)上,通过网络,将它重叠在载波上提供。然后,通过起动这样提供的程序,在OS的控制下与其他的应用程序同样执行,就能够执行上述的处理。

Claims (20)

1.一种成膜装置的使用方法,该成膜装置具备具有以选自石英和碳化硅构成的组中的材料为主成分的内面的处理容器,该方法的特征在于,包括:
在所述处理容器内,进行在制品用的被处理基板上形成硅氮化膜的成膜处理的工序;
接着,从所述处理容器卸载所述制品用的被处理基板的工序;和
之后,将氧化气体供向没有容纳制品用的被处理基板的前述处理容器内,进行使附着在前述处理容器的前述内面上的副生成物膜在从其表面至规定深度的部分上变化为氧比氮丰富的状态的氧化处理的工序。
2.如权利要求1所述的方法,其特征在于:
所述氧化处理具有在通过等离子体激励机构激励所述氧化气体的状态下将该氧化气体供向所述处理容器内的期间,利用这样生成的所述氧化气体的自由基对所述副生成物膜进行氧化。
3.如权利要求2所述的方法,其特征在于:
所述氧化气体具有氧。
4.如权利要求2所述的方法,其特征在于:
所述氧化处理将所述处理容器内的压力设定为30Pa~300Pa。
5.如权利要求2所述的方法,其特征在于:
所述氧化处理将所述处理容器的所述内面的温度设定为400℃~650℃。
6.如权利要求1所述的方法,其特征在于:
所述规定的深度为1~10nm。
7.如权利要求1所述的方法,其特征在于:
所述氧化处理,在通过等离子体激励机构不激励所述氧化气体的状态下将该氧化气体供向所述处理容器内.
8.如权利要求7所述的方法,其特征在于:
所述氧化处理将所述处理容器的所述内面的温度设定为400℃~800℃。
9.如权利要求1所述的方法,其特征在于,还包括:
在所述氧化处理后,向没有容纳制品用的被处理基板的所述处理容器内供给氮化气体,进行使被氧化过的所述副生成物膜的表面层回复到氮比氧丰富的状态的氮化处理的工序。
10.如权利要求9所述的方法,其特征在于:
所述表面层的厚度为0.1~0.5nm。
11.如权利要求9所述的方法,其特征在于:
所述氮化处理具有在通过等离子体激励机构激励所述氮化气体的状态下将该氮化气体供向所述处理容器内的期间,利用这样生成的所述氮化气体的自由基对所述副生成物膜的表面层进行氮化。
12.如权利要求9所述的方法,其特征在于:
所述氮化气体具有氨。
13.如权利要求11所述的方法,其特征在于:
所述氮化处理将所述处理容器内的压力设定为50Pa~100Pa。
14.如权利要求11所述的方法,其特征在于:
所述氮化处理将所述处理容器的所述内面的温度设定为400℃~650℃。
15.如权利要求1所述的方法,其特征在于:
所述成膜处理具有下述工序,即将具有硅源气体的第一成膜气体和具有氮化气体的第二成膜气体供向所述处理容器内,利用CVD形成硅氮化膜的工序。
16.如权利要求15所述的方法,其特征在于:
在通过多次反复进行所述成膜处理导致所述硅氮化膜的累积厚度超过规定值之前,执行所述氧化处理。
17.如权利要求15所述的方法,其特征在于,进一步包括:
所述氧化处理后,向没有容纳制品用的被处理基板的所述处理容器内供给与所述第二成膜气体用的氮化气体相同的氮化气体,进行使被氧化过的所述副生成物膜的表面层回复到氮比氧丰富的状态的氮化处理的工序。
18.如权利要求1所述的方法,其特征在于:
所述处理容器以在上下设置间隔并在叠层的状态下容纳多块被处理基板的方式构成,在所述处理容器的周围配置用于加热所述多块被处理基板的加热器。
19.一种半导体处理用的成膜装置,其特征在于,包括:
容纳被处理基板的处理容器,并且所述处理容器具有以选自由石英和碳化硅构成的组中的材料为主成分的内面;
对所述处理容器内进行加热的加热器;
对所述处理容器内进行排气的排气系统;
向所述处理容器内供给用于形成硅氮化膜的成膜气体的成膜气体供给系统;
向所述处理容器内供给用于进行副生成物膜的氧化处理的氧化气体的氧化气体供给系统;
对所述处理容器装入或者卸载所述被处理基板的机构;和
对所述装置的动作进行控制的控制部,其中
所述控制部以执行下述工序的方式被预先设定,即
在所述处理容器内,进行在制品用的被处理基板上形成硅氮化膜的成膜处理的工序,
接着,从所述处理容器卸载所述制品用的被处理基板的工序,和
之后,将氧化气体供向没有容纳制品用的被处理基板的前述处理容器内,进行使附着在前述处理容器的前述内面上的副生成物膜在从其表面至规定深度的部分上变化为氧比氮丰富的状态的氧化处理的工序。
20.一种包含用于在处理器上执行的程序指令的能够由计算机读取的介质,用于具备具有以选自由石英和碳化硅构成的组中的材料为主成分的内面的处理容器的成膜装置,其特征在于:
所述程序指令在通过处理器执行时,控制所述装置,使它执行以下工序,
在所述处理容器内,进行在制品用的被处理基板上形成硅氮化膜的成膜处理的工序;
接着,从所述处理容器卸载所述制品用的被处理基板的工序;和
之后,将氧化气体供向没有容纳制品用的被处理基板的前述处理容器内,进行使附着在前述处理容器的前述内面上的副生成物膜在从其表面至规定深度的部分上变化为氧比氮丰富的状态的氧化处理的工序。
CN2007101963637A 2006-11-30 2007-11-30 半导体处理用的成膜装置及其使用方法 Active CN101192534B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2006-323805 2006-11-30
JP2006323805A JP5008957B2 (ja) 2006-11-30 2006-11-30 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
JP2006323805 2006-11-30

Publications (2)

Publication Number Publication Date
CN101192534A true CN101192534A (zh) 2008-06-04
CN101192534B CN101192534B (zh) 2012-05-23

Family

ID=39476356

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007101963637A Active CN101192534B (zh) 2006-11-30 2007-11-30 半导体处理用的成膜装置及其使用方法

Country Status (5)

Country Link
US (2) US20080132083A1 (zh)
JP (1) JP5008957B2 (zh)
KR (1) KR101160722B1 (zh)
CN (1) CN101192534B (zh)
TW (1) TWI487026B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101676432A (zh) * 2008-09-17 2010-03-24 东京毅力科创株式会社 成膜装置及成膜方法
CN102162089A (zh) * 2010-02-15 2011-08-24 东京毅力科创株式会社 成膜方法、成膜装置及成膜装置的使用方法
CN104078386A (zh) * 2013-03-27 2014-10-01 东京毅力科创株式会社 硅氧化膜的形成方法以及硅氧化膜的形成装置
TWI458017B (zh) * 2010-04-12 2014-10-21 Hitachi Int Electric Inc 半導體裝置之製造方法、基板處理方法及基板處理裝置
TWI476853B (zh) * 2012-09-13 2015-03-11 Motech Ind Inc 擴散機台
CN105390378A (zh) * 2014-09-02 2016-03-09 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
US10066294B2 (en) 2015-02-25 2018-09-04 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
CN109524289A (zh) * 2017-09-20 2019-03-26 株式会社尤金科技 批量型等离子体衬底处理设备

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5008957B2 (ja) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP5746744B2 (ja) * 2009-01-07 2015-07-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5658463B2 (ja) 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5312996B2 (ja) * 2009-03-17 2013-10-09 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5839514B2 (ja) * 2010-02-15 2016-01-06 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
US9498846B2 (en) 2010-03-29 2016-11-22 The Aerospace Corporation Systems and methods for preventing or reducing contamination enhanced laser induced damage (C-LID) to optical components using gas phase additives
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
JP5692850B2 (ja) * 2010-12-28 2015-04-01 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
KR101408084B1 (ko) * 2011-11-17 2014-07-04 주식회사 유진테크 보조가스공급포트를 포함하는 기판 처리 장치
JP6125846B2 (ja) * 2012-03-22 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US9323051B2 (en) 2013-03-13 2016-04-26 The Aerospace Corporation Systems and methods for inhibiting contamination enhanced laser induced damage (CELID) based on fluorinated self-assembled monolayers disposed on optics
JP6087236B2 (ja) * 2013-07-24 2017-03-01 東京エレクトロン株式会社 成膜方法
JP6340332B2 (ja) * 2015-03-17 2018-06-06 東京エレクトロン株式会社 薄膜形成方法、および、薄膜形成装置
DE102016112877B4 (de) * 2015-09-07 2021-07-15 Fuji Electric Co., Ltd. Verfahren zum Herstellen einer Halbleitervorrichtung und für das Verfahren verwendete Halbleiterherstellungsvorrichtung
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6548586B2 (ja) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
KR102227909B1 (ko) * 2019-07-16 2021-03-16 주식회사 유진테크 배치식 기판처리장치 및 그 운용방법
JP6761083B2 (ja) * 2019-07-17 2020-09-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7333758B2 (ja) * 2020-01-23 2023-08-25 東京エレクトロン株式会社 成膜方法及び成膜装置
JP7258826B2 (ja) 2020-06-30 2023-04-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7303168B2 (ja) * 2020-09-30 2023-07-04 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7393409B2 (ja) * 2021-12-24 2023-12-06 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、プログラム及び基板処理装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2746448B2 (ja) 1990-02-07 1998-05-06 セントラル硝子株式会社 混合ガス組成物
JPH04192330A (ja) * 1990-11-26 1992-07-10 Kawasaki Steel Corp 半導体製造装置の清掃方法
JPH0737881A (ja) * 1993-07-19 1995-02-07 Hitachi Ltd 薄膜生成装置の後処理方法およびその装置
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP2003188159A (ja) * 2001-12-19 2003-07-04 Seiko Epson Corp Cvd装置及び半導体装置の製造方法
JP3855081B2 (ja) * 2002-07-01 2006-12-06 株式会社日立国際電気 フッ素ガスによるクリーニング機構を備えたcvd装置およびcvd装置のフッ素ガスによるクリーニング方法
JP2004095918A (ja) * 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及び半導体装置の製造方法
JP3594947B2 (ja) 2002-09-19 2004-12-02 東京エレクトロン株式会社 絶縁膜の形成方法、半導体装置の製造方法、基板処理装置
JP4430918B2 (ja) * 2003-03-25 2010-03-10 東京エレクトロン株式会社 薄膜形成装置の洗浄方法及び薄膜形成方法
US7604841B2 (en) * 2004-03-31 2009-10-20 Tokyo Electron Limited Method for extending time between chamber cleaning processes
JP2006066884A (ja) * 2004-07-27 2006-03-09 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
JP4541864B2 (ja) * 2004-12-14 2010-09-08 東京エレクトロン株式会社 シリコン酸窒化膜の形成方法、形成装置及びプログラム
CN101527263B (zh) * 2005-02-17 2013-03-20 株式会社日立国际电气 半导体器件的制造方法
US7494943B2 (en) * 2005-10-20 2009-02-24 Tokyo Electron Limited Method for using film formation apparatus
JP4245012B2 (ja) * 2006-07-13 2009-03-25 東京エレクトロン株式会社 処理装置及びこのクリーニング方法
JP5008957B2 (ja) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090056877A1 (en) * 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
JP5228437B2 (ja) * 2007-10-19 2013-07-03 東京エレクトロン株式会社 処理装置及びその使用方法
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5202372B2 (ja) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
JP5346904B2 (ja) * 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101676432A (zh) * 2008-09-17 2010-03-24 东京毅力科创株式会社 成膜装置及成膜方法
CN102162089A (zh) * 2010-02-15 2011-08-24 东京毅力科创株式会社 成膜方法、成膜装置及成膜装置的使用方法
CN102162089B (zh) * 2010-02-15 2014-11-26 东京毅力科创株式会社 成膜方法、成膜装置及成膜装置的使用方法
TWI564963B (zh) * 2010-04-12 2017-01-01 日立國際電氣股份有限公司 半導體裝置之製造方法及基板處理方法
TWI458017B (zh) * 2010-04-12 2014-10-21 Hitachi Int Electric Inc 半導體裝置之製造方法、基板處理方法及基板處理裝置
US8946092B2 (en) 2010-04-12 2015-02-03 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
TWI476853B (zh) * 2012-09-13 2015-03-11 Motech Ind Inc 擴散機台
CN104078386A (zh) * 2013-03-27 2014-10-01 东京毅力科创株式会社 硅氧化膜的形成方法以及硅氧化膜的形成装置
CN105390378A (zh) * 2014-09-02 2016-03-09 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
CN105390378B (zh) * 2014-09-02 2018-05-29 株式会社日立国际电气 半导体器件的制造方法及衬底处理装置
US10066294B2 (en) 2015-02-25 2018-09-04 Hitachi Kokusai Electric, Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
CN109524289A (zh) * 2017-09-20 2019-03-26 株式会社尤金科技 批量型等离子体衬底处理设备
CN109524289B (zh) * 2017-09-20 2021-03-23 株式会社尤金科技 批量型等离子体衬底处理设备

Also Published As

Publication number Publication date
TW200847278A (en) 2008-12-01
CN101192534B (zh) 2012-05-23
US20080132083A1 (en) 2008-06-05
JP2008140864A (ja) 2008-06-19
US20120263888A1 (en) 2012-10-18
KR20080049656A (ko) 2008-06-04
JP5008957B2 (ja) 2012-08-22
KR101160722B1 (ko) 2012-06-28
TWI487026B (zh) 2015-06-01
US8646407B2 (en) 2014-02-11

Similar Documents

Publication Publication Date Title
CN101192534B (zh) 半导体处理用的成膜装置及其使用方法
CN100477116C (zh) 硅氧化膜的形成方法和硅氧化膜的形成装置
US8697578B2 (en) Film formation apparatus and method for using same
CN101154589B (zh) 形成硅氧化膜的成膜方法和装置
CN101497993B (zh) 薄膜形成方法和用于形成含硅绝缘膜的装置
CN101440482B (zh) 薄膜形成装置及其使用方法
US7632757B2 (en) Method for forming silicon oxynitride film
CN101140884B (zh) 半导体处理用的成膜方法和装置
US9970110B2 (en) Plasma processing apparatus
CN101789361B (zh) 成膜装置及其使用方法
US10224185B2 (en) Substrate processing apparatus
CN101407910B (zh) 半导体处理用的成膜装置
CN101570856B (zh) 成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant