JP4638550B2 - マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 - Google Patents
マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 Download PDFInfo
- Publication number
- JP4638550B2 JP4638550B2 JP2009206443A JP2009206443A JP4638550B2 JP 4638550 B2 JP4638550 B2 JP 4638550B2 JP 2009206443 A JP2009206443 A JP 2009206443A JP 2009206443 A JP2009206443 A JP 2009206443A JP 4638550 B2 JP4638550 B2 JP 4638550B2
- Authority
- JP
- Japan
- Prior art keywords
- film
- forming
- pattern
- resist pattern
- resist
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 572
- 239000010408 film Substances 0.000 claims description 1014
- 239000007789 gas Substances 0.000 claims description 548
- 238000012545 processing Methods 0.000 claims description 398
- 230000008569 process Effects 0.000 claims description 383
- 239000001301 oxygen Substances 0.000 claims description 197
- 229910052760 oxygen Inorganic materials 0.000 claims description 197
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 119
- 239000010409 thin film Substances 0.000 claims description 106
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 97
- 238000005530 etching Methods 0.000 claims description 94
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 89
- 239000000758 substrate Substances 0.000 claims description 83
- 239000004065 semiconductor Substances 0.000 claims description 82
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 72
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 69
- 230000015572 biosynthetic process Effects 0.000 claims description 69
- 238000010926 purge Methods 0.000 claims description 38
- 229910052710 silicon Inorganic materials 0.000 claims description 37
- 239000010703 silicon Substances 0.000 claims description 37
- 238000000206 photolithography Methods 0.000 claims description 31
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 claims description 27
- 230000001590 oxidative effect Effects 0.000 claims description 25
- 239000002243 precursor Substances 0.000 claims description 17
- 150000001875 compounds Chemical class 0.000 claims description 10
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 claims description 10
- 238000005516 engineering process Methods 0.000 claims description 5
- 101150037371 BAS gene Proteins 0.000 claims 1
- 101100117387 Catharanthus roseus DPAS gene Proteins 0.000 claims 1
- 101000735417 Homo sapiens Protein PAPPAS Proteins 0.000 claims 1
- 101100272204 Kalopanax septemlobus BAS1 gene Proteins 0.000 claims 1
- 102100034919 Protein PAPPAS Human genes 0.000 claims 1
- 101100352341 Rubus idaeus PKS4 gene Proteins 0.000 claims 1
- 239000011295 pitch Substances 0.000 description 83
- 229920002120 photoresistant polymer Polymers 0.000 description 81
- 229910004298 SiO 2 Inorganic materials 0.000 description 56
- 230000007246 mechanism Effects 0.000 description 55
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 48
- 235000012431 wafers Nutrition 0.000 description 47
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 33
- 230000000052 comparative effect Effects 0.000 description 33
- 238000004380 ashing Methods 0.000 description 25
- 239000006185 dispersion Substances 0.000 description 25
- 239000011248 coating agent Substances 0.000 description 22
- 238000000576 coating method Methods 0.000 description 22
- 238000010438 heat treatment Methods 0.000 description 21
- 238000007254 oxidation reaction Methods 0.000 description 17
- 238000006243 chemical reaction Methods 0.000 description 16
- 239000000463 material Substances 0.000 description 16
- 230000003647 oxidation Effects 0.000 description 16
- 229910052782 aluminium Inorganic materials 0.000 description 15
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 15
- 238000010586 diagram Methods 0.000 description 15
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 13
- 229910001882 dioxygen Inorganic materials 0.000 description 13
- 230000000694 effects Effects 0.000 description 11
- 230000005684 electric field Effects 0.000 description 10
- 238000011156 evaluation Methods 0.000 description 10
- 239000010453 quartz Substances 0.000 description 10
- 230000009467 reduction Effects 0.000 description 10
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 9
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 8
- 229920005591 polysilicon Polymers 0.000 description 8
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 7
- 239000002253 acid Substances 0.000 description 7
- 238000000151 deposition Methods 0.000 description 7
- 125000003277 amino group Chemical group 0.000 description 6
- 229910021417 amorphous silicon Inorganic materials 0.000 description 6
- 230000008021 deposition Effects 0.000 description 6
- 238000011161 development Methods 0.000 description 6
- 230000006870 function Effects 0.000 description 6
- 230000001965 increasing effect Effects 0.000 description 6
- 239000011261 inert gas Substances 0.000 description 6
- 238000005192 partition Methods 0.000 description 6
- 238000003860 storage Methods 0.000 description 6
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 230000008859 change Effects 0.000 description 5
- 230000007423 decrease Effects 0.000 description 5
- 229910052739 hydrogen Inorganic materials 0.000 description 5
- 239000007800 oxidant agent Substances 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 239000000428 dust Substances 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 238000001179 sorption measurement Methods 0.000 description 4
- 238000009966 trimming Methods 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 3
- 238000007796 conventional method Methods 0.000 description 3
- 230000007547 defect Effects 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- CWAFVXWRGIEBPL-UHFFFAOYSA-N ethoxysilane Chemical compound CCO[SiH3] CWAFVXWRGIEBPL-UHFFFAOYSA-N 0.000 description 3
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 239000002994 raw material Substances 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- 102100038954 60S ribosomal export protein NMD3 Human genes 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- 101000603190 Homo sapiens 60S ribosomal export protein NMD3 Proteins 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- CGRVKSPUKAFTBN-UHFFFAOYSA-N N-silylbutan-1-amine Chemical compound CCCCN[SiH3] CGRVKSPUKAFTBN-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- UAIZDWNSWGTKFZ-UHFFFAOYSA-L ethylaluminum(2+);dichloride Chemical compound CC[Al](Cl)Cl UAIZDWNSWGTKFZ-UHFFFAOYSA-L 0.000 description 2
- 238000009499 grossing Methods 0.000 description 2
- 230000001771 impaired effect Effects 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- 239000010410 layer Substances 0.000 description 2
- 239000011553 magnetic fluid Substances 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 230000001681 protective effect Effects 0.000 description 2
- 238000007790 scraping Methods 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 239000002210 silicon-based material Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 description 2
- MCULRUJILOGHCJ-UHFFFAOYSA-N triisobutylaluminium Chemical compound CC(C)C[Al](CC(C)C)CC(C)C MCULRUJILOGHCJ-UHFFFAOYSA-N 0.000 description 2
- LFXVBWRMVZPLFK-UHFFFAOYSA-N trioctylalumane Chemical compound CCCCCCCC[Al](CCCCCCCC)CCCCCCCC LFXVBWRMVZPLFK-UHFFFAOYSA-N 0.000 description 2
- CMAOLVNGLTWICC-UHFFFAOYSA-N 2-fluoro-5-methylbenzonitrile Chemical compound CC1=CC=C(F)C(C#N)=C1 CMAOLVNGLTWICC-UHFFFAOYSA-N 0.000 description 1
- BIVNKSDKIFWKFA-UHFFFAOYSA-N N-propan-2-yl-N-silylpropan-2-amine Chemical compound CC(C)N([SiH3])C(C)C BIVNKSDKIFWKFA-UHFFFAOYSA-N 0.000 description 1
- UOERHRIFSQUTET-UHFFFAOYSA-N N-propyl-N-silylpropan-1-amine Chemical compound CCCN([SiH3])CCC UOERHRIFSQUTET-UHFFFAOYSA-N 0.000 description 1
- 150000001413 amino acids Chemical class 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 230000005587 bubbling Effects 0.000 description 1
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- YNLAOSYQHBDIKW-UHFFFAOYSA-M diethylaluminium chloride Chemical compound CC[Al](Cl)CC YNLAOSYQHBDIKW-UHFFFAOYSA-M 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000003028 elevating effect Effects 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 239000002052 molecular layer Substances 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 150000008442 polyphenolic compounds Chemical class 0.000 description 1
- 235000013824 polyphenols Nutrition 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 239000003507 refrigerant Substances 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000003466 welding Methods 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/403—Oxides of aluminium, magnesium or beryllium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02178—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31616—Deposition of Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Electromagnetism (AREA)
- Drying Of Semiconductors (AREA)
- Formation Of Insulating Films (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
(第1の実施の形態)
図1乃至図8を参照し、本発明の第1の実施の形態に係る微細パターンの形成方法及び成膜装置を説明する。
(スリミング処理を行う際のレジストパターンの形状制御)
次に、図9乃至図16を参照し、本実施の形態において、先端と根元との間の幅寸法の差が小さくなるように保持しながらスリミング処理することができ、スリミング処理されたレジストパターンの形状を精密に制御できる効果について説明する。以下では、スリミング処理工程後のレジストパターンの幅寸法等を測定して評価を行ったので、その評価結果について説明する。
(実施例1)
(A)成膜装置内スリミング処理
酸素含有ガス:O2ガス
基板温度:加熱なし
成膜装置内圧力:66.7〜227Pa
ガス流量:5〜30slm
高周波電源周波数:13.56MHz
高周波電源パワー:50〜500W
(B)成膜処理
(1)原料ガス供給条件
原料ガス:DIPAS
基板温度:加熱なし
成膜装置内圧力:26.7〜667Pa
ガス流量:50〜1000sccm
供給時間:1〜10sec
(2)酸化ガス供給条件
酸化ガス:酸素ガス
基板温度:加熱なし
成膜装置内圧力:66.7〜227Pa
ガス流量:5〜30slm
供給時間:5〜30sec
高周波電源周波数:13.56MHz
高周波電源パワー:50〜500W
(3)繰返し条件
合計サイクル:140〜150サイクル
図9に、実施例1で(A)成膜装置内スリミング処理を行った後のレジストパターンを走査型電子顕微鏡SEM(Scanning Electron Microscope)を用いて撮影した写真を示す。図9(a)及び図9(b)は、レジストパターンの断面を、それぞれ斜め上方及び正面から撮影した写真(左側)と、写真を模式的に説明する図(右側)とを示す図である。図9(a)及び図9(b)に示すように、レジストパターンの幅寸法をCD(図2B(e)で説明したLL1に等しい)とし、高さ寸法をHとする。また、先端におけるレジストパターンの幅寸法をTとし、根元から先端までの半分の高さの位置におけるレジストパターンの幅寸法をMとし、根元におけるレジストパターンの幅寸法をBとする。
(比較例1)
(A)塗布装置内スリミング処理
(1)高温現像処理条件
現像液:NMD3
処理温度:23〜50℃
処理時間:60sec
(2)酸化処理条件
塗布液:TKシンナー
回転速度:1000〜1500rpm
処理時間:60sec
(3)酸拡散処理条件
処理温度:50〜90℃
(4)現像処理条件
現像液:NMD3
処理温度:23〜50℃
処理時間:60sec
(B)成膜処理
実施例1の(B)成膜処理と同じ
図10に、比較例1で(A)塗布装置内スリミング処理を行った後のレジストパターンをSEMを用いて撮影した写真を示す。図10(a)及び図10(b)は、レジストパターンの断面を、それぞれ斜め上方及び正面から撮影した写真(左側)と、写真を模式的に説明する図(右側)とを示す図である。レジストパターンの各寸法であるCD、H、T、M、Bの定義は、図10(a)及び図10(b)に示すように、図9(a)及び図9(b)に示す実施例1における定義と同一である。なお、図10は、図9と同一の倍率で撮影されたものである。
(第2の実施の形態)
次に、図17を参照し、第2の実施の形態に係る微細パターンの形成方法及び微細パターンの形成方法に使用される成膜装置を説明する。
(第3の実施の形態)
次に、図18乃至図19Cを参照し、本発明の第3の実施の形態に係る微細パターンの形成方法について説明する。
(第4の実施の形態)
次に、図3、図5、図20を参照し、本発明の第4の実施の形態に係る微細パターンの形成方法について説明する。
(第5の実施の形態)
次に、図21から図27を参照し、本発明の第5の実施の形態に係る微細パターンの形成方法について説明する。
(成膜処理を行う際のレジストパターンの形状制御効果)
次に、図26及び表2を参照し、本実施の形態において、形状加工工程で加工したレジストパターンの形状が、成膜工程の前後で変化せず、レジストパターンの形状を精密に制御できる効果について説明する。以下では、成膜工程後のレジストパターンの幅寸法等を測定して評価を行ったので、その評価結果について説明する。図26は、実施例2及び比較例2でアルミニウム酸化膜を成膜した後のレジストパターンを撮影した写真及び写真を説明する図である。
(実施例2)
(1)原料ガス供給条件
原料ガス:TMA
基板温度:加熱なし
成膜装置内圧力:39.9Pa
ガス流量:100sccm
供給時間:5sec
(2)酸化ガス供給条件
酸化ガス:オゾンガス+酸素ガス
基板温度:加熱なし
成膜装置内圧力:133Pa
オゾンガス流量:200g/m3
酸素ガス流量:10.0slm
供給時間:15sec
(3)繰返し条件
合計サイクル:210サイクル
(比較例2)
(1)原料ガス供給条件
原料ガス:DIPAS
基板温度:加熱なし
成膜装置内圧力:26.7〜227Pa
ガス流量:50〜1000sccm
供給時間:1〜10sec
(2)酸化ガス供給条件
酸化ガス:酸素ガス
基板温度:加熱なし
成膜装置内圧力:66.7〜227Pa
ガス流量:5〜30slm
供給時間:5〜30sec
高周波電源周波数:13.56MHz
高周波電源パワー:50〜500W
(3)繰返し条件
合計サイクル:140〜150サイクル
図26に、実施例2及び比較例2で酸化膜を成膜した後のレジストパターンをSEMを用いて撮影した写真を示す。図26(a)及び図26(b)は、それぞれアルミニウム酸化膜を成膜した後(実施例2)、及びシリコン酸化膜を成膜した後(比較例2)のレジストパターンの断面を撮影した写真(左側)と、写真を模式的に説明する図(右側)とを示す図である。図26(a)及び図26(b)に示すように、酸化膜の膜厚をDとし、レジストパターンの高さ寸法をHとし、先端におけるレジストパターンの幅寸法をTとし、根元から先端までの半分の高さの位置におけるレジストパターンの幅寸法をMとし、根元におけるレジストパターンの幅寸法をBとする。
(成膜処理を行う際にレジストパターンが受ける損傷減少効果)
次に、図27及び表3を参照し、本実施の形態において、形状加工工程で加工したレジストパターンが、成膜工程で受けるダメージ(損傷)を減少する効果について説明する。以下では、実施例3、4を実施し、フォトレジスト膜を成膜した後、フォトレジスト膜の形状を加工せずに、酸化膜を成膜した後のフォトレジスト膜の膜厚を測定し、比較例3と比較して評価を行ったので、その評価結果について説明する。図27は、実施例3、4及び比較例3における、レジストのアッシング量を測定するための膜の構造を模式的に示す断面図である。
(第6の実施の形態)
次に、図28乃至図29Cを参照し、本発明の第6の実施の形態に係る微細パターンの形成方法について説明する。
なお、本実施の形態に係るフォトレジスト膜257は、本発明における第2のレジスト膜に相当する。
(第7の実施の形態)
次に、図30乃至図33を参照し、本発明の第7の実施の形態に係る微細パターンの形成方法について説明する。
(成膜処理を行う際のレジストパターンの形状制御効果)
次に、図32及び表4を参照し、本実施の形態において、形状加工工程で加工したレジストパターンの形状が、成膜工程の前後で変化せず、レジストパターンの形状を精密に制御できる効果について説明する。以下では、成膜工程後のレジストパターンの幅寸法等を測定して評価を行ったので、その評価結果について説明する。図32は、実施例5でアルミニウム酸化膜及びシリコン酸化膜を成膜した後のレジストパターンを撮影した写真及び写真を説明する図である。
(実施例5)
(A)アルミニウム酸化膜の成膜条件
(1)原料ガス供給条件
原料ガス:TMA
基板温度:加熱なし
成膜装置内圧力:39.9Pa
ガス流量:100sccm
供給時間:5sec
(2)酸化ガス供給条件
酸化ガス:オゾンガス+酸素ガス
基板温度:加熱なし
成膜装置内圧力:133Pa
オゾンガス流量:200g/m3
酸素ガス流量:10.0slm
供給時間:15sec
(3)繰返し条件
合計サイクル:30〜150サイクル
(B)シリコン酸化膜の成膜条件
(1)原料ガス供給条件
原料ガス:DIPAS
基板温度:加熱なし
成膜装置内圧力:26.7〜667Pa
ガス流量:50〜1000sccm
供給時間:1〜10sec
(2)酸化ガス供給条件
酸化ガス:酸素ガス
基板温度:加熱なし
成膜装置内圧力:66.7〜227Pa
ガス流量:5〜30slm
供給時間:5〜30sec
高周波電源周波数:13.56MHz
高周波電源パワー:50〜500W
(3)繰返し条件
合計サイクル:120〜130サイクル
図32に、実施例5で酸化膜を成膜した後のレジストパターンをSEMを用いて撮影した写真を示す。図32は、アルミニウム酸化膜を成膜した後のレジストパターンの断面を撮影した写真(左側)と、写真を模式的に説明する図(右側)とを示す図である。図32に示すように、酸化膜の膜厚をDとし、レジストパターンの高さ寸法をHとし、先端におけるレジストパターンの幅寸法をTとし、根元から先端までの半分の高さの位置におけるレジストパターンの幅寸法をMとし、根元におけるレジストパターンの幅寸法をBとする。
(成膜処理を行う際にレジストパターンが受ける損傷減少効果)
次に、図33及び表5を参照し、本実施の形態において、形状加工工程で加工したレジストパターンが、成膜工程で受けるダメージ(損傷)を減少する効果について説明する。以下では、実施例6、7を実施し、フォトレジスト膜を成膜した後、フォトレジスト膜の形状を加工せずに、酸化膜を成膜した後のフォトレジスト膜の膜厚を測定し、比較例3と比較して評価を行ったので、その評価結果について説明する。図33は、実施例6、7及び比較例3における、レジストのアッシング量を測定するための膜の構造を模式的に示す断面図である。
3 マニホールド
14 酸素含有ガス供給機構
15 Siソースガス供給機構
16 パージガス供給機構
19 酸素含有ガス分散ノズル
22 Siソースガス分散ノズル
30 プラズマ生成機構
40 加熱装置
50 コントローラ
80 成膜装置
101 半導体基板
102 薄膜
103 有機膜(反射防止膜)
104 フォトレジスト膜
104a、104b レジストパターン
105 SiO2膜
205 Al2O3膜
Claims (30)
- 薄膜上に、レジスト膜を形成する工程と、
フォトリソグラフィ技術を用いて、前記レジスト膜を、所定のピッチを有するレジストパターンに加工するパターン加工工程と、
前記レジストパターンの形状を加工する形状加工工程と、
ソースガスと酸素ラジカル又は酸素含有ガスとを供給し、前記形状加工工程により形状を加工された前記レジストパターン及び前記薄膜上に、酸化膜を成膜する成膜工程と
を具備するマスクパターンの形成方法であって、
前記形状加工工程と、前記成膜工程とを、前記酸化膜を成膜する成膜装置内で連続して行うことを特徴とするマスクパターンの形成方法。 - 被エッチング膜上に、反射防止膜を形成する工程と、
前記反射防止膜上に、レジスト膜を形成する工程と、
フォトリソグラフィ技術を用いて、前記レジスト膜を、所定のピッチで配列するライン部を含むレジストパターンに加工するパターン加工工程と、
酸化膜を成膜する成膜装置内で前記レジストパターンの形状を加工する形状加工工程と、
前記形状加工工程と連続して、前記成膜装置内にソースガスと酸素ラジカル又は酸素含有ガスとを供給し、前記形状加工工程により形状を加工された前記レジストパターン及び前記被エッチング膜上に、酸化膜を成膜する成膜工程と、
前記酸化膜が、形状を加工された前記レジストパターンの前記ライン部の側面に側壁として残存するように、前記酸化膜をエッチングするエッチング工程と、
形状を加工された前記レジストパターンを除去し、側壁として残存し、前記酸化膜よりなる側壁部を形成する工程と、
前記側壁部をマスクとして前記反射防止膜をエッチングし、前記側壁部及び前記反射防止膜よりなるマスクパターンを形成する工程と
を具備するマスクパターンの形成方法。 - 被エッチング膜上に、反射防止膜を形成する工程と、
前記反射防止膜上に、レジスト膜を形成する工程と、
フォトリソグラフィ技術を用いて、前記レジスト膜を、第1のピッチで配列する第1のレジストパターンに加工する第1のパターン加工工程と、
酸化膜を成膜する成膜装置内で前記第1のレジストパターンの形状を加工する第1の形状加工工程と、
前記第1の形状加工工程と連続して、前記成膜装置内にソースガスと酸素ラジカル又は酸素含有ガスとを供給し、前記第1の形状加工工程により形状を加工された前記第1のレジストパターン及び前記被エッチング膜上に、酸化膜を成膜する成膜工程と、
前記酸化膜上に、第2のレジスト膜を形成する工程と、
フォトリソグラフィ技術を用いて、前記第2のレジスト膜を、前記第1のピッチと略等しい第2のピッチで配列し、かつ、前記第1のピッチの略半分ずらして前記第1のレジストパターンと交互に配列する第2のレジストパターンに加工する第2のパターン加工工程と、
前記第2のレジストパターンの形状を加工する第2の形状加工工程と、
形状が加工された前記第1のレジストパターン及び形状が加工された前記第2のレジストパターンをマスクとして前記酸化膜及び前記反射防止膜をエッチングし、前記第1のピッチの略半分のピッチで配列し、前記第1のレジスト膜及び前記第2のレジスト膜よりなるマスクパターンを形成する工程と
を具備するマスクパターンの形成方法。 - 前記形状加工工程は、酸素含有ガスプラズマ又はオゾンガスを用いてレジストパターンの形状を加工することを特徴とする請求項1乃至3の何れか一項に記載のマスクパターンの形成方法。
- 前記酸素ラジカルとして、酸素含有ガスプラズマを用いることを特徴とする請求項1乃至3の何れか一項に記載のマスクパターンの形成方法。
- 前記酸素含有ガスプラズマは、O2ガス、NOガス、N2Oガス、H2Oガス、O3ガスの何れかから選択される一種をプラズマ化したものであることを特徴とする請求項1乃至3の何れか一項に記載のマスクパターンの形成方法。
- 前記成膜工程は、前記ソースガスを真空保持可能な処理容器内へ供給するソースガス供給工程と、前記酸素ラジカル又は前記酸素含有ガスを前記処理容器内へ供給する酸素ラジカル供給工程とを交互に実施することによって、前記酸化膜を前記処理容器内で成膜することを特徴とする請求項1乃至3の何れか一項に記載のマスクパターンの形成方法。
- 前記ソースガス供給工程と、前記酸素ラジカル供給工程との間に、前記処理容器内に残留しているガスを除去する残留ガス除去工程を挿入することを特徴とする請求項7に記載のマスクパターンの形成方法。
- 前記残留ガス除去工程は、前記処理容器内を真空引きしながら前記処理容器内にパージガスを導入することを特徴とする請求項8に記載のマスクパターンの形成方法。
- 前記酸化膜を成膜する際の成膜温度が前記レジスト膜の耐熱温度以下であることを特徴とする請求項1乃至3の何れか一項に記載のマスクパターンの形成方法。
- 前記成膜温度は、100℃以下であることを特徴とする請求項10に記載のマスクパターンの形成方法。
- 前記ソースガスは、有機シリコンを含み、
前記酸化膜は、シリコン酸化膜であることを特徴とする請求項1乃至3の何れか一項に記載のマスクパターンの形成方法。 - 前記成膜工程は、アミノシラン系プリカーサをソースガスとしてシリコン酸化膜を成膜することを特徴とする請求項12に記載のマスクパターンの形成方法。
- 前記アミノシラン系プリカーサは、1価又は2価のアミノシラン系プリカーサであることを特徴とする請求項13に記載のマスクパターンの形成方法。
- 前記1価または2価のアミノシラン系プリカーサは、BTBAS、BDMAS、BDEAS、DAMS、EDAS、DPAS、BAS、DIPASから選択された少なくとも1種であることを特徴とする請求項14に記載のマスクパターンの形成方法。
- 前記ソースガスは、有機アルミニウム化合物を含み、
前記酸化膜は、アルミニウム酸化膜であることを特徴とする請求項1乃至3の何れか一項に記載のマスクパターンの形成方法。 - 前記有機アルミニウム化合物は、トリメチルアルミニウムを含むことを特徴とする請求項16に記載のマスクパターンの形成方法。
- 前記成膜工程の後、有機シリコンを含むソースガスと酸素ラジカルとを供給し、前記アルミニウム酸化膜上に、シリコン酸化膜を成膜するシリコン酸化膜成膜工程を具備することを特徴とする請求項16に記載のマスクパターンの形成方法。
- 前記シリコン酸化膜成膜工程を、前記アルミニウム酸化膜を成膜する成膜装置内で連続して行うことを特徴とする請求項16に記載のマスクパターンの形成方法。
- 基板の上に、薄膜又は被エッチング膜を形成する工程と、
請求項1乃至3の何れか一項に記載のマスクパターンの形成方法を行って、マスクパターンを形成する工程と、
前記マスクパターンを用いて前記薄膜又は前記被エッチング膜をエッチングし、前記薄膜よりなる薄膜パターン又は前記被エッチング膜よりなる被エッチング膜パターンを形成する工程と
を具備する微細パターンの形成方法。 - 真空保持可能に設けられ、半導体基板を処理するための処理容器と、
前記処理容器内にソースガスを供給するソースガス供給手段と、
前記処理容器内に酸素ラジカル又は酸素含有ガスを供給する酸素ラジカル供給手段と
を備え、
前記ソースガス供給手段と前記酸素ラジカル供給手段とが前記処理容器内に前記ソースガスと前記酸素ラジカル又は前記酸素含有ガスとを交互に供給することによって、レジストパターンが形成された半導体基板上に酸化膜を成膜する成膜装置であって、
前記レジストパターンが形成された半導体基板上に前記酸化膜を成膜する前に、前記酸素ラジカル供給手段が前記処理容器内に前記酸素ラジカルを供給することによって、前記レジストパターンの形状を加工することを特徴とする成膜装置。 - 半導体基板上に被エッチング膜を介して形成された反射防止膜と、前記反射防止膜上に形成されたレジストパターンの側壁を被覆し、酸化膜よりなる側壁部と、を含むマスクパターンを形成するために、ソースガスと酸素ラジカル又は酸素含有ガスとを交互に供給することによって、前記レジストパターンが形成された前記反射防止膜上に、前記レジストパターンを等方的に被覆するように前記酸化膜を成膜する成膜装置であって、
真空保持可能に設けられ、半導体基板を処理するための処理容器と、
前記処理容器内に前記ソースガスを供給するソースガス供給手段と、
前記処理容器内に前記酸素ラジカル又は前記酸素含有ガスを供給する酸素ラジカル供給手段と
を備え、
前記レジストパターンが形成された半導体基板上に前記酸化膜を成膜する前に、前記酸素ラジカル供給手段が前記処理容器内に前記酸素ラジカルを供給することによって、前記レジストパターンの形状を加工することを特徴とする成膜装置。 - 半導体基板上に下から順に被エッチング膜及び反射防止膜を介して形成され、第1のピッチで配列する第1のレジストパターンと、前記第1のピッチと略等しい第2のピッチで配列し、かつ、前記第1のピッチの略半分ずらして前記第1のレジストパターンと交互に配列する第2のレジストパターンと、を含むマスクパターンを形成するために、ソースガスと酸素ラジカル又は酸素含有ガスとを交互に供給することによって、前記第1のレジストパターンが形成された前記反射防止膜上に、前記第1のレジストパターンを等方的に被覆するように前記酸化膜を成膜する成膜装置であって、
真空保持可能に設けられ、半導体基板を処理するための処理容器と、
前記処理容器内に前記ソースガスを供給するソースガス供給手段と、
前記処理容器内に前記酸素ラジカル又は前記酸素含有ガスを供給する酸素ラジカル供給手段と
を備え、
前記第1のレジストパターンが形成された半導体基板上に前記酸化膜を成膜する前に、前記酸素ラジカル供給手段が前記処理容器内に前記酸素ラジカルを供給することによって、前記第1のレジストパターンの形状を加工することを特徴とする成膜装置。 - 前記ソースガスは、有機シリコンを含み、
前記酸化膜は、シリコン酸化膜であることを特徴とする請求項21乃至23の何れか一項に記載の成膜装置。 - 前記ソースガスは、有機アルミニウム化合物を含み、
前記酸化膜は、アルミニウム酸化膜であることを特徴とする請求項21乃至23の何れか一項に記載の成膜装置。 - 被エッチング膜上に、反射防止膜を形成する工程と、
前記反射防止膜上に、レジスト膜を形成する工程と、
フォトリソグラフィ技術を用いて、前記レジスト膜を、第1のピッチで配列するライン部を含む第1のレジストパターンに加工する第1のパターン加工工程と、
前記第1のレジストパターンの形状を加工する第1の形状加工工程と、
ソースガスと酸素含有ガスとを供給し、前記第1の形状加工工程により形状を加工された前記第1のレジストパターン及び前記被エッチング膜上に、常温でアルミニウム酸化膜を成膜する成膜工程と
を具備するマスクパターンの形成方法。 - 前記アルミニウム酸化膜が、形状を加工された前記第1のレジストパターンの前記ライン部の側面に側壁として残存するように、前記アルミニウム酸化膜をエッチングするエッチング工程と、
形状を加工された前記第1のレジストパターンを除去し、側壁として残存し、前記アルミニウム酸化膜よりなる側壁部を形成する工程と、
前記側壁部をマスクとして前記反射防止膜をエッチングし、前記側壁部及び前記反射防止膜よりなるマスクパターンを形成する工程と
を具備する請求項26に記載のマスクパターンの形成方法。 - 前記成膜工程の後、有機シリコンを含むソースガスと酸素ラジカルとを供給し、前記アルミニウム酸化膜上に、シリコン酸化膜を成膜するシリコン酸化膜成膜工程と、
前記シリコン酸化膜及び前記アルミニウム酸化膜が、形状を加工された前記第1のレジストパターンの前記ライン部の側面に側壁として残存するように、前記シリコン酸化膜及び前記アルミニウム酸化膜をエッチングするエッチング工程と、
形状を加工された前記第1のレジストパターンを除去し、側壁として残存し、前記シリコン酸化膜及び前記アルミニウム酸化膜よりなる側壁部を形成する工程と、
前記側壁部をマスクとして前記反射防止膜をエッチングし、前記側壁部及び前記反射防止膜よりなるマスクパターンを形成する工程と
を具備する請求項26に記載のマスクパターンの形成方法。 - 前記アルミニウム酸化膜上に、第2のレジスト膜を形成する工程と、
フォトリソグラフィ技術を用いて、前記第2のレジスト膜を、前記第1のピッチと略等しい第2のピッチで配列し、かつ、前記第1のピッチの略半分ずらして前記第1のレジストパターンと交互に配列する第2のレジストパターンに加工する第2のパターン加工工程と、
前記第2のレジストパターンの形状を加工する第2の形状加工工程と、
形状が加工された前記第1のレジストパターン及び形状が加工された前記第2のレジストパターンをマスクとして前記アルミニウム酸化膜及び前記反射防止膜をエッチングし、前記第1のピッチの略半分のピッチで配列し、前記第1のレジスト膜及び前記第2のレジスト膜よりなるマスクパターンを形成する工程と
を具備する請求項26に記載のマスクパターンの形成方法。 - 基板の上に、被エッチング膜を形成する工程と、
請求項27乃至29の何れか一項に記載のマスクパターンの形成方法を行って、マスクパターンを形成する工程と、
前記マスクパターンを用いて前記被エッチング膜をエッチングし、前記被エッチング膜よりなる被エッチング膜パターンを形成する工程と
を具備する微細パターンの形成方法。
Priority Applications (14)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2009206443A JP4638550B2 (ja) | 2008-09-29 | 2009-09-07 | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 |
US12/567,834 US8426117B2 (en) | 2008-09-29 | 2009-09-28 | Mask pattern forming method, fine pattern forming method, and film deposition apparatus |
TW098132629A TWI422994B (zh) | 2008-09-29 | 2009-09-28 | A method of forming a mask pattern, a method of forming a fine pattern, and a film forming apparatus |
TW100130415A TWI422995B (zh) | 2008-09-29 | 2009-09-28 | A method of forming a mask pattern, a method of forming a fine pattern, and a film forming apparatus |
KR1020090092465A KR101079625B1 (ko) | 2008-09-29 | 2009-09-29 | 마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치 |
KR1020110051227A KR101108613B1 (ko) | 2008-09-29 | 2011-05-30 | 미세 패턴의 형성 방법 및 성막 장치 |
US13/848,849 US10176992B2 (en) | 2008-09-29 | 2013-03-22 | Mask pattern forming method, fine pattern forming method, and film deposition apparatus |
US15/440,406 US10191378B2 (en) | 2008-09-29 | 2017-02-23 | Mask pattern forming method, fine pattern forming method, and film deposition apparatus |
US15/714,052 US10141187B2 (en) | 2008-09-29 | 2017-09-25 | Mask pattern forming method, fine pattern forming method, and film deposition apparatus |
US16/157,461 US10879066B2 (en) | 2008-09-29 | 2018-10-11 | Mask pattern forming method, fine pattern forming method, and film deposition apparatus |
US16/202,745 US11404271B2 (en) | 2008-09-29 | 2018-11-28 | Film deposition apparatus for fine pattern forming |
US16/216,255 US11404272B2 (en) | 2008-09-29 | 2018-12-11 | Film deposition apparatus for fine pattern forming |
US17/808,375 US11881379B2 (en) | 2008-09-29 | 2022-06-23 | Film deposition apparatus for fine pattern forming |
US18/524,767 US20240096595A1 (en) | 2008-09-29 | 2023-11-30 | Film deposition apparatus for fine pattern forming |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2008251679 | 2008-09-29 | ||
JP2009206443A JP4638550B2 (ja) | 2008-09-29 | 2009-09-07 | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010262998A Division JP5236716B2 (ja) | 2008-09-29 | 2010-11-25 | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2010103497A JP2010103497A (ja) | 2010-05-06 |
JP4638550B2 true JP4638550B2 (ja) | 2011-02-23 |
Family
ID=42057846
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2009206443A Active JP4638550B2 (ja) | 2008-09-29 | 2009-09-07 | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 |
Country Status (4)
Country | Link |
---|---|
US (9) | US8426117B2 (ja) |
JP (1) | JP4638550B2 (ja) |
KR (2) | KR101079625B1 (ja) |
TW (2) | TWI422994B (ja) |
Families Citing this family (363)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4638550B2 (ja) * | 2008-09-29 | 2011-02-23 | 東京エレクトロン株式会社 | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 |
JP5236716B2 (ja) * | 2008-09-29 | 2013-07-17 | 東京エレクトロン株式会社 | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 |
JP4985987B2 (ja) * | 2008-10-15 | 2012-07-25 | 信越化学工業株式会社 | パターン形成方法 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110159213A1 (en) * | 2009-12-30 | 2011-06-30 | Applied Materials, Inc. | Chemical vapor deposition improvements through radical-component modification |
JP2013516763A (ja) * | 2009-12-30 | 2013-05-13 | アプライド マテリアルズ インコーポレイテッド | フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長 |
US8409352B2 (en) * | 2010-03-01 | 2013-04-02 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus |
US8563445B2 (en) * | 2010-03-05 | 2013-10-22 | Applied Materials, Inc. | Conformal layers by radical-component CVD |
EP2378543B1 (en) | 2010-04-14 | 2015-05-20 | ASM Genitech Korea Ltd. | Method of forming semiconductor patterns |
US8343881B2 (en) * | 2010-06-04 | 2013-01-01 | Applied Materials, Inc. | Silicon dioxide layer deposited with BDEAS |
JP5632240B2 (ja) * | 2010-08-31 | 2014-11-26 | 東京エレクトロン株式会社 | 微細パターンの形成方法 |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
WO2012060379A1 (ja) * | 2010-11-04 | 2012-05-10 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
US8764999B2 (en) * | 2010-11-23 | 2014-07-01 | Tokyo Electron Limited | Sidewall image transfer pitch doubling and inline critical dimension slimming |
JP5682290B2 (ja) * | 2010-12-20 | 2015-03-11 | 東京エレクトロン株式会社 | 炭素含有薄膜のスリミング方法及び酸化装置 |
CN103283015A (zh) * | 2010-12-24 | 2013-09-04 | 旭化成电子材料株式会社 | 绝缘结构体及其制造方法 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
JP2012169408A (ja) * | 2011-02-14 | 2012-09-06 | Taiyo Nippon Sanso Corp | マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜 |
US8716154B2 (en) * | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
JP6097282B2 (ja) | 2011-05-24 | 2017-03-15 | コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. | 構造化された照明を用いる3dスキャナ |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
KR101427726B1 (ko) * | 2011-12-27 | 2014-08-07 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 및 반도체 장치의 제조 방법 |
JP6127535B2 (ja) * | 2012-02-03 | 2017-05-17 | 大日本印刷株式会社 | ナノインプリント用テンプレートの製造方法 |
JP6089451B2 (ja) * | 2012-05-30 | 2017-03-08 | 大日本印刷株式会社 | ナノインプリントモールドおよびその製造方法 |
JP6001940B2 (ja) * | 2012-07-11 | 2016-10-05 | 東京エレクトロン株式会社 | パターン形成方法及び基板処理システム |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
JP6171453B2 (ja) * | 2013-03-25 | 2017-08-02 | 大日本印刷株式会社 | ナノインプリントモールドの製造方法 |
JP6167673B2 (ja) | 2013-05-31 | 2017-07-26 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP2015005534A (ja) * | 2013-06-18 | 2015-01-08 | 学校法人立命館 | 縦型発光ダイオードおよび結晶成長方法 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
JP2015154034A (ja) * | 2014-02-19 | 2015-08-24 | 株式会社東芝 | 成膜装置および成膜方法 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
CN104390012B (zh) * | 2014-11-04 | 2016-06-15 | 南京工业大学 | 磁流体润滑的机械密封装置及自适应密封控制方法 |
CN107112278B (zh) * | 2014-12-15 | 2021-05-04 | 应用材料公司 | 用于先进互连应用的超薄电介质扩散阻挡层与蚀刻终止层 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
CN106601610A (zh) * | 2015-10-14 | 2017-04-26 | 中国科学院微电子研究所 | 一种形成小间距鳍体的方法 |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
JP2018067582A (ja) * | 2016-10-18 | 2018-04-26 | 東芝メモリ株式会社 | 半導体製造装置及び半導体装置の製造方法 |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10541146B2 (en) * | 2017-04-26 | 2020-01-21 | Tokyo Electron Limited | Method of cyclic plasma etching of organic film using sulfur-based chemistry |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10354923B2 (en) | 2017-05-31 | 2019-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method for atomic layer deposition of a dielectric over a substrate |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP6814116B2 (ja) | 2017-09-13 | 2021-01-13 | キオクシア株式会社 | 半導体装置の製造方法および半導体製造装置 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
TWI826164B (zh) * | 2017-10-27 | 2023-12-11 | 日商東京威力科創股份有限公司 | 光罩圖案形成方法、記憶媒體及基板處理裝置 |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
WO2019103613A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | A storage device for storing wafer cassettes for use with a batch furnace |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US10559479B2 (en) * | 2018-02-27 | 2020-02-11 | Toshiba Memory Corporation | Semiconductor manufacturing apparatus and manufacturing method of semiconductor device |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
WO2019190783A1 (en) * | 2018-03-26 | 2019-10-03 | Lam Research Corporation | Atomic layer deposition of carbon films |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10566194B2 (en) * | 2018-05-07 | 2020-02-18 | Lam Research Corporation | Selective deposition of etch-stop layer for enhanced patterning |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
TWI728456B (zh) | 2018-09-11 | 2021-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 相對於基板的薄膜沉積方法 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
WO2020209939A1 (en) * | 2019-04-08 | 2020-10-15 | Applied Materials, Inc. | Methods for modifying photoresist profiles and tuning critical dimensions |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
CN110993499B (zh) * | 2019-11-05 | 2022-08-16 | 北京北方华创微电子装备有限公司 | 一种刻蚀方法、空气隙型介电层及动态随机存取存储器 |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
KR102190908B1 (ko) * | 2020-02-18 | 2020-12-14 | (주)위지트 | Oled 메탈마스크 코팅 방법 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN114173510B (zh) * | 2021-12-08 | 2022-12-13 | 上海交通大学 | 一种具有空气隔热层的微型多层隔热结构及其制备和应用 |
KR20240121876A (ko) * | 2021-12-20 | 2024-08-09 | 램 리써치 코포레이션 | 아미노실란 전구체 및 클로로실란 전구체를 사용한 컨포멀한 (conformal) 실리콘 옥사이드 증착 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH09205081A (ja) * | 1995-12-29 | 1997-08-05 | Hyundai Electron Ind Co Ltd | 半導体素子の微細パターン形成方法 |
JP2007522673A (ja) * | 2004-02-13 | 2007-08-09 | フリースケール セミコンダクター インコーポレイテッド | 処理済みフォトレジストを使用して半導体素子を形成する方法 |
Family Cites Families (38)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4233109A (en) * | 1976-01-16 | 1980-11-11 | Zaidan Hojin Handotai Kenkyu Shinkokai | Dry etching method |
US4437961A (en) * | 1982-08-19 | 1984-03-20 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Method for sequentially processing a multi-level interconnect circuit in a vacuum chamber |
US4534389A (en) * | 1984-03-29 | 1985-08-13 | Hewlett-Packard Company | Interlocking door latch for dockable interface for integrated circuit processing |
JPS6393881A (ja) * | 1986-10-08 | 1988-04-25 | Anelva Corp | プラズマ処理装置 |
KR19980028359A (ko) * | 1996-10-22 | 1998-07-15 | 김영환 | 반도체소자의 미세 패턴 제조방법 |
US20030049372A1 (en) * | 1997-08-11 | 2003-03-13 | Cook Robert C. | High rate deposition at low pressures in a small batch reactor |
US6620631B1 (en) * | 2000-05-18 | 2003-09-16 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control |
AU2002211730A1 (en) * | 2000-10-16 | 2002-04-29 | Tokyo Electron Limited | Plasma reactor with reduced reaction chamber |
US6653231B2 (en) | 2001-03-28 | 2003-11-25 | Advanced Micro Devices, Inc. | Process for reducing the critical dimensions of integrated circuit device features |
TW552624B (en) * | 2001-05-04 | 2003-09-11 | Tokyo Electron Ltd | Ionized PVD with sequential deposition and etching |
US6861334B2 (en) * | 2001-06-21 | 2005-03-01 | Asm International, N.V. | Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition |
KR100480610B1 (ko) | 2002-08-09 | 2005-03-31 | 삼성전자주식회사 | 실리콘 산화막을 이용한 미세 패턴 형성방법 |
US7625460B2 (en) * | 2003-08-01 | 2009-12-01 | Micron Technology, Inc. | Multifrequency plasma reactor |
JP4727171B2 (ja) * | 2003-09-29 | 2011-07-20 | 東京エレクトロン株式会社 | エッチング方法 |
KR100568448B1 (ko) | 2004-04-19 | 2006-04-07 | 삼성전자주식회사 | 감소된 불순물을 갖는 고유전막의 제조방법 |
US20050287806A1 (en) * | 2004-06-24 | 2005-12-29 | Hiroyuki Matsuura | Vertical CVD apparatus and CVD method using the same |
JP4179311B2 (ja) * | 2004-07-28 | 2008-11-12 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7652632B2 (en) * | 2004-08-18 | 2010-01-26 | Ruckus Wireless, Inc. | Multiband omnidirectional planar antenna apparatus with selectable elements |
US7195985B2 (en) | 2005-01-04 | 2007-03-27 | Intel Corporation | CMOS transistor junction regions formed by a CVD etching and deposition sequence |
US8123968B2 (en) * | 2005-08-25 | 2012-02-28 | Round Rock Research, Llc | Multiple deposition for integration of spacers in pitch multiplication process |
US20070116888A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Method and system for performing different deposition processes within a single chamber |
US8440268B2 (en) | 2006-03-30 | 2013-05-14 | Mitsui Engineering & Shipbuilding Co., Ltd. | Method and apparatus for growing plasma atomic layer |
KR20080001918A (ko) * | 2006-06-30 | 2008-01-04 | 주식회사 하이닉스반도체 | 반도체 소자의 미세 패턴 형성방법 |
JP4929932B2 (ja) * | 2006-09-01 | 2012-05-09 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
KR100761857B1 (ko) | 2006-09-08 | 2007-09-28 | 삼성전자주식회사 | 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법 |
US7666578B2 (en) * | 2006-09-14 | 2010-02-23 | Micron Technology, Inc. | Efficient pitch multiplication process |
TWI462179B (zh) * | 2006-09-28 | 2014-11-21 | Tokyo Electron Ltd | 用以形成氧化矽膜之成膜方法與裝置 |
WO2008041630A1 (fr) * | 2006-09-29 | 2008-04-10 | Asahi Kasei Emd Corporation | Composition de polyorganosiloxane |
KR100752674B1 (ko) * | 2006-10-17 | 2007-08-29 | 삼성전자주식회사 | 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법 |
US20080207007A1 (en) * | 2007-02-27 | 2008-08-28 | Air Products And Chemicals, Inc. | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films |
KR101011490B1 (ko) * | 2007-06-08 | 2011-01-31 | 도쿄엘렉트론가부시키가이샤 | 패터닝 방법 |
US7790628B2 (en) | 2007-08-16 | 2010-09-07 | Tokyo Electron Limited | Method of forming high dielectric constant films using a plurality of oxidation sources |
JP4932671B2 (ja) | 2007-10-26 | 2012-05-16 | 東京エレクトロン株式会社 | エッチングマスクの形成方法、制御プログラム及びプログラム記憶媒体 |
US8030218B2 (en) * | 2008-03-21 | 2011-10-04 | Micron Technology, Inc. | Method for selectively modifying spacing between pitch multiplied structures |
US8129555B2 (en) | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
JP5423205B2 (ja) * | 2008-08-29 | 2014-02-19 | 東京エレクトロン株式会社 | 成膜装置 |
JP4638550B2 (ja) * | 2008-09-29 | 2011-02-23 | 東京エレクトロン株式会社 | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 |
US7972926B2 (en) * | 2009-07-02 | 2011-07-05 | Micron Technology, Inc. | Methods of forming memory cells; and methods of forming vertical structures |
-
2009
- 2009-09-07 JP JP2009206443A patent/JP4638550B2/ja active Active
- 2009-09-28 TW TW098132629A patent/TWI422994B/zh active
- 2009-09-28 US US12/567,834 patent/US8426117B2/en active Active
- 2009-09-28 TW TW100130415A patent/TWI422995B/zh active
- 2009-09-29 KR KR1020090092465A patent/KR101079625B1/ko active IP Right Grant
-
2011
- 2011-05-30 KR KR1020110051227A patent/KR101108613B1/ko active IP Right Grant
-
2013
- 2013-03-22 US US13/848,849 patent/US10176992B2/en active Active
-
2017
- 2017-02-23 US US15/440,406 patent/US10191378B2/en active Active
- 2017-09-25 US US15/714,052 patent/US10141187B2/en active Active
-
2018
- 2018-10-11 US US16/157,461 patent/US10879066B2/en active Active
- 2018-11-28 US US16/202,745 patent/US11404271B2/en active Active
- 2018-12-11 US US16/216,255 patent/US11404272B2/en active Active
-
2022
- 2022-06-23 US US17/808,375 patent/US11881379B2/en active Active
-
2023
- 2023-11-30 US US18/524,767 patent/US20240096595A1/en active Pending
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH09205081A (ja) * | 1995-12-29 | 1997-08-05 | Hyundai Electron Ind Co Ltd | 半導体素子の微細パターン形成方法 |
JP2007522673A (ja) * | 2004-02-13 | 2007-08-09 | フリースケール セミコンダクター インコーポレイテッド | 処理済みフォトレジストを使用して半導体素子を形成する方法 |
Also Published As
Publication number | Publication date |
---|---|
US10879066B2 (en) | 2020-12-29 |
KR101108613B1 (ko) | 2012-02-08 |
TWI422995B (zh) | 2014-01-11 |
US10176992B2 (en) | 2019-01-08 |
KR101079625B1 (ko) | 2011-11-03 |
US10191378B2 (en) | 2019-01-29 |
US11881379B2 (en) | 2024-01-23 |
US20170162381A1 (en) | 2017-06-08 |
KR20110082495A (ko) | 2011-07-19 |
US20100081094A1 (en) | 2010-04-01 |
US20130213301A1 (en) | 2013-08-22 |
US11404272B2 (en) | 2022-08-02 |
TW201039072A (en) | 2010-11-01 |
US20220328301A1 (en) | 2022-10-13 |
US8426117B2 (en) | 2013-04-23 |
US20190096658A1 (en) | 2019-03-28 |
KR20100036214A (ko) | 2010-04-07 |
US10141187B2 (en) | 2018-11-27 |
US20180019113A1 (en) | 2018-01-18 |
US20190041756A1 (en) | 2019-02-07 |
JP2010103497A (ja) | 2010-05-06 |
US20240096595A1 (en) | 2024-03-21 |
TW201220004A (en) | 2012-05-16 |
US20190115204A1 (en) | 2019-04-18 |
US11404271B2 (en) | 2022-08-02 |
TWI422994B (zh) | 2014-01-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4638550B2 (ja) | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 | |
JP4659856B2 (ja) | 微細パターンの形成方法 | |
JP4589984B2 (ja) | 微細パターンの形成方法 | |
JP2012134199A (ja) | 炭素含有薄膜のスリミング方法及び酸化装置 | |
US20110065280A1 (en) | Mask pattern forming method and semiconductor device manufacturing method | |
KR20140029501A (ko) | 미세 패턴의 형성 방법 | |
EP4325550A1 (en) | Substrate processing method | |
JP2012174976A (ja) | パターンの形成方法 | |
JP5236716B2 (ja) | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 | |
KR102678853B1 (ko) | 피처리체를 처리하는 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20101001 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20101026 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20101125 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131203 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 4638550 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |