JP4659856B2 - 微細パターンの形成方法 - Google Patents

微細パターンの形成方法 Download PDF

Info

Publication number
JP4659856B2
JP4659856B2 JP2008149272A JP2008149272A JP4659856B2 JP 4659856 B2 JP4659856 B2 JP 4659856B2 JP 2008149272 A JP2008149272 A JP 2008149272A JP 2008149272 A JP2008149272 A JP 2008149272A JP 4659856 B2 JP4659856 B2 JP 4659856B2
Authority
JP
Japan
Prior art keywords
film
forming
resist
gas
fine pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008149272A
Other languages
English (en)
Other versions
JP2009016815A (ja
Inventor
滋 中島
一秀 長谷部
保華 周
光秋 岩下
礼二 新納
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008149272A priority Critical patent/JP4659856B2/ja
Publication of JP2009016815A publication Critical patent/JP2009016815A/ja
Application granted granted Critical
Publication of JP4659856B2 publication Critical patent/JP4659856B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Description

この発明は、半導体プロセスに用いられ、露光装置の解像限界以下のパターンを形成する微細パターンの形成方法に関する。
半導体デバイスの高集積化に伴って、製造プロセスに要求される配線や分離幅は、微細化されてきている。一般的に、微細パターンは、フォトリソグラフィ技術を用いてレジストパターンを形成し、該レジストパターンをエッチングのマスクに用いて下地の各種薄膜をエッチングすることで形成される。
微細パターンを形成するためにはフォトリソグラフィ技術が重要であるが、近時の半導体デバイスの微細化は、フォトリソグラフィ技術の解像限界以下を要求するまでに至っている。
解像限界以下のパターンを形成する技術は、例えば、特許文献1に記載されている。
特許文献1は、第1の感光膜パターン(以下第1のレジストパターンという)を形成し、該第1のレジストパターン上に酸化膜を形成する。この後、第1のレジストパターンどうしの中間に第2の感光膜パターン(以下第2のレジストパターンという)を形成し、第1のレジストパターン及び第2のレジストパターンをエッチングマスクに用いて下地の薄膜をエッチングして微細パターンを形成する。
特許文献1によれば、2つの露光マスクを利用して微細パターンを形成するので、1つの露光マスクを利用して微細パターンを形成する場合に比較して2倍以上の分解能を得ることができる。このため、解像限界以下の微細パターンを形成することができる。
また、レジストパターン上に酸化膜を形成する技術は、例えば、特許文献2に記載されている。
特許文献2は、解像限界以下の微細パターンを形成する方法は記載していないが、レジストパターン上に酸化膜を形成しておくことで、レジストパターンの薄型化現象を防止でき、形成された微細パターンにストリエーションやウィグリングが生ずることを防止できる技術が記載されている。
特許第2757983号公報 特開2004−80033号公報
特許文献1によれば、解像限界以下の微細パターンを形成することはできる。しかしながら、微細パターンのCD均一性の要求は日を追って厳しくなっている。例えば、第1のレジストパターン上に形成する酸化膜の膜厚均一性が良好でないと、微細パターンに対する良好なCDコントロールが困難となる。
特許文献2は、例えば、その段落0010に、レジストパターンに損傷が生じないように、酸化膜は常温〜400℃の間の温度で形成することが望ましいことが記載され、このような酸化膜を実現する手法としてALDを開示している。
しかしながら、特許文献2は、ALDよりも、さらに低温で、かつ、均一な膜厚をもって酸化膜を形成する技術については何等開示していない。
この発明は、解像限界以下のピッチを持つ微細パターンのCD均一性を、より良好にできる微細パターンの形成方法を提供することを目的とする。
上記課題を解決するために、この発明の第1の態様に係る微細パターンの形成方法は、基板上に、薄膜を形成する工程と、前記薄膜上に、第1レジスト膜を形成する工程と、フォトリソグラフィ技術を用いて、前記第1レジスト膜を、所定のピッチを持つ第1レジストパターンに加工する工程と、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記第1レジストパターン及び前記薄膜上に、前記薄膜及び前記第1レジストパターンとは異なるシリコン酸化膜を形成する工程と、前記シリコン酸化膜上に、第2レジスト膜を形成する工程と、フォトリソグラフィ技術を用いて、前記第2レジスト膜を、所定のピッチを持つ第2レジストパターンに加工する工程と、前記シリコン酸化膜が形成された前記第1レジストパターン及び前記第2レジストパターンをマスクに用いて、前記薄膜を加工する工程と、を具備する。
また、この発明の第2の態様に係る微細パターンの形成方法は、基板上に、薄膜を形成する工程と、前記薄膜上に、前記薄膜とは異なる膜からなるハードマスク膜を形成する工程と、前記ハードマスク膜上に、第1レジスト膜を形成する工程と、フォトリソグラフィ技術を用いて、前記第1レジスト膜を、所定のピッチを持つ第1レジストパターンに加工する工程と、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記第1レジストパターン及び前記ハードマスク膜上に、前記ハードマスク膜及び前記第1レジストパターンとは異なるシリコン酸化膜を形成する工程と、前記シリコン酸化膜上に、第2レジスト膜を形成する工程と、フォトリソグラフィ技術を用いて、前記第2レジスト膜を、所定のピッチを持つ第2レジストパターンに加工する工程と、前記シリコン酸化膜が形成された前記第1レジストパターン及び前記第2レジストパターンをマスクに用いて、前記ハードマスク膜を加工する工程と、前記加工されたハードマスク膜をマスクに用いて、前記薄膜を加工する工程と、を具備する。
この発明によれば、解像限界以下のピッチを持つ微細パターンのCD均一性を、より良好にできる微細パターンの形成方法を提供できる。
以下、添付図面を参照してこの発明の実施形態について具体的に説明する。
(第1の実施形態)
図1乃至図6は、この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図である。
第1の実施形態は、この発明に係る微細パターンの形成方法の基本的なプロセスフローを例示するものである。
まず、図1に示すように、基板、本例では半導体基板101上に、薄膜102を形成する。また、本明細書においては、半導体基板101は、半導体、例えば、シリコン基板のみを示すものではなく、半導体基板内、又は半導体基板上に形成された半導体素子や集積回路パターンに対応した導電膜、これらを絶縁する層間絶縁膜が形成された構造体を含む、と定義する。薄膜102は後に微細パターンに加工される膜であり、SiNやSiOの絶縁膜であっても良いし、導電性ポリシリコンのような導電膜であっても良い。本例では、一例として、薄膜102をSiNとする。次いで、薄膜102上に反射防止材料を塗布し、反射防止膜(BARC)200を形成する。
次に、図2に示すように、反射防止膜200上にフォトレジストを塗布し、フォトレジスト膜を形成する。次いで、フォトリソグラフィ技術を用いて、フォトレジスト膜を、所定のピッチp1を持つレジストパターン103に加工する。本例では、レジストパターン103の一例として、ライン・アンド・スペースパターンとし、所定のピッチp1としては、露光装置の解像限界とする。
次に、図3に示すように、レジストパターン103をトリミングし、トリミングされたレジストパターン103´を得る(本明細書では第1回トリミング処理と呼ぶ)。トリミング処理の条件の一例は、活性化された酸素種、又はオゾンガスを含む雰囲気中、温度は室温〜100℃である。活性化された酸素種の例としては、プラズマにより励起された酸素ラジカル、熱により励起された酸素ラジカル、及び光により励起された酸素ラジカル等を挙げることができる。また、光の例としては、レーザーや、波長350nm以下の紫外線を挙げることができる。
次に、図4に示すように、トリミングされたレジストパターン103´及び反射防止膜200上に、薄膜102、反射防止膜200、及びレジストパターン103´とは異なるシリコン酸化膜104を形成する。シリコン酸化膜104は、後に行われる2回目のフォトリソグラフィ工程から、レジストパターン103´を保護するために形成される。本明細書では、このようなシリコン酸化膜104の形成をハードニング処理と呼ぶ。本実施形態では、ハードニング処理を、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給しながら、トリミングされたレジストパターン103´及び反射防止膜200上に、シリコン酸化膜104を形成する。ハードニング処理に用いられる活性化された酸素種もまた、上記第1回トリミング処理に用いた活性化された酸素種と同様の酸素種を用いることができる。
次に、図5に示すように、シリコン酸化膜104上にフォトレジストを塗布し、フォトレジスト膜を形成する。次いで、フォトリソグラフィ技術を用いて、フォトレジスト膜を、所定のピッチp2を持つレジストパターン105に加工する。本例では、レジストパターン105は、トリミングされたレジストパターン103´と同じライン・アンド・スペースパターンである。また、レジストパターン105の、所定のピッチp2は、露光装置の解像限界とする。さらに、本例のレジストパターン105は、トリミングされたレジストパターン103´間に配置され、レジストパターン103´とレジストパターン105とが交互に配置されるように加工する。
次に、図6に示すように、レジストパターン105をトリミングし、トリミングされたレジストパターン105´を得る(本明細書では第2回トリミング処理と呼ぶ)。トリミング処理の条件の一例は、活性化された酸素種、又はオゾンガスを含む雰囲気中、温度は室温〜100℃である。第2回トリミング処理に用いられる活性化された酸素種もまた、上記第1回トリミング処理に用いた活性化された酸素種と同様の酸素種を用いることができる。第2回トリミング処理により、レジストパターン103´、及び105´からなるレジストパターンが形成される。このレジストパターンは、パターン103´と105´とが交互に配置されたパターンであるので、そのピッチp3は、ピッチp1、及びp2よりも狭いピッチ、本例では、ピッチp1、及びp2のほぼ1/2のピッチとなる。このように、別々に形成されたレジストパターン103´と105´とを交互に配置することで、解像限界以下のピッチを持つレジストパターンを形成することができる。
次に、図7に示すように、レジストパターン103´、及び105´をエッチングのマスクに用いて、反射防止膜200、シリコン酸化膜104、及び薄膜102をエッチングし、薄膜102を所望とする微細パターンに加工する。加工された薄膜102のピッチp4は、レジストパターン103´及び105´のピッチp3とほぼ同じとなるので、薄膜102からなる微細パターンのピッチp4は、解像限界以下にできる。このようにして、第1の実施形態では、解像限界以下のピッチを持つ微細パターンを形成することができる。
さらに、第1の実施形態では、ハードニング処理の際、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給して反射防止膜200及びトリミングされたレジストパターン103´上に、シリコン酸化膜104を形成することで、解像限界以下のピッチを持つ微細パターンのCD均一性を、より良好にすることができる。これについて、以下、詳しく説明する。
図8はシリコン酸化膜104の成膜に使用される成膜装置の一例を示す縦断面図、図9は図8の成膜装置を示す横断面図、図10は本実施形態におけるガスの供給のタイミングを示すタイミングチャートである。なお、図9においては、加熱装置を省略している。
図8及び図9に示すように、成膜装置80は、下端が開口された有天井の円筒体状の処理容器1を有している。この処理容器1の全体は、例えば石英により形成されており、この処理容器1内の天井には、石英製の天井板2が設けられて封止されている。また、この処理容器1の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド3がOリング等のシール部材4を介して連結されている。
上記マニホールド3は処理容器1の下端を支持しており、このマニホールド3の下方から被処理体として多数枚、例えば50〜100枚の半導体ウエハWを多段に載置可能な石英製のウエハボート5が処理容器1内に挿入可能となっている。このウエハボート5は3本の支柱6を有し(図9参照)、支柱6に形成された溝により多数枚のウエハWが支持されるようになっている。
このウエハボート5は、石英製の保温筒7を介してテーブル8上に載置されており、このテーブル8は、マニホールド3の下端開口部を開閉する例えばステンレススチール製の蓋部9を貫通する回転軸10上に支持される。
そして、この回転軸10の貫通部には、例えば磁性流体シール11が設けられており、回転軸10を気密にシールしつつ回転可能に支持している。また、蓋部9の周辺部とマニホールド3の下端部との間には、例えばOリングよりなるシール部材12が介設されており、これにより処理容器1内のシール性を保持している。
上記の回転軸10は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム13の先端に取り付けられており、ウエハボート5および蓋部9等を一体的に昇降して処理容器1内に対して挿脱されるようになっている。なお、上記テーブル8を上記蓋部9側へ固定して設け、ウエハボート5を回転させることなくウエハWの処理を行うようにしてもよい。
また、成膜装置80は、処理容器1内へ酸素含有ガス、例えばOガスを供給する酸素含有ガス供給機構14と、処理容器1内へSiソースガスを供給するSiソースガス供給機構15と、処理容器1内へパージガスとして不活性ガス、例えばNガスを供給するパージガス供給機構16とを有している。
本実施形態においては、シリコン酸化膜104を成膜するためのSiソースガスとして有機シリコンを含むSiソースガスを用いる。有機シリコンを含むSiソースガスの例は、アミノシラン系プリカーサーである。アミノシラン系プリカーサーの例は、1価または2価のアミノシラン系プリカーサーである。1価または2価のアミノシラン系プリカーサーの具体的な例は、例えば、BTBAS(ビスターシャリブチルアミノシラン)、BDMAS(ビスジメチルアミノシラン)、BDEAS(ビスジエチルアミノシラン)、DMAS(ジメチルアミノシラン)、DEAS(ジエチルアミノシラン)、DPAS(ジプロピルアミノシラン)、BAS(ブチルアミノシラン)、DIPAS(ジイソプロピルアミノシラン)、及びBEMAS(ビスエチルメチルアミノシラン)である。
また、アミノシラン系プリカーサーとしては、3価のアミノシラン系プリカーサーを用いることもできる。3価のアミノシラン系プリカーサーの例は、TDMAS(トリジメチルアミノシラン)である。
また、有機シリコンを含むSiソースガスとしては、アミノシラン系プリカーサーの他、エトキシシラン系プリカーサーを用いることもできる。エトキシシラン系プリカーサーの例は、例えば、TEOS(テトラエトキシシラン)である。
酸素含有ガス供給機構14は、酸素含有ガス供給源17と、酸素含有ガス供給源17から酸素含有ガスを導く酸素含有ガス配管18と、この酸素含有ガス配管18に接続され、マニホールド3の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる石英管よりなる酸素含有ガス分散ノズル19とを有している。この酸素含有ガス分散ノズル19の垂直部分には、複数のガス吐出孔19aが所定の間隔を隔てて形成されており、各ガス吐出孔19aから水平方向に処理容器1に向けて略均一に酸素含有ガス、例えばOガスを吐出することができるようになっている。
また、Siソースガス供給機構15は、Siソースガス供給源20と、このSiソースガス供給源20からSiソースガスを導くSiソースガス配管21と、このSiソースガス配管21に接続され、マニホールド3の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる石英管よりなるSiソースガス分散ノズル22と、を有している。ここではSiソースガス分散ノズル22は2本設けられており(図9参照)、各Siソースガス分散ノズル22には、その長さ方向に沿って複数のガス吐出孔22aが所定の間隔を隔てて形成されており、各ガス吐出孔22aから水平方向に処理容器1内に略均一に有機シリコンを含むSiソースガスを吐出することができるようになっている。なお、Siソースガス分散ノズル22は1本のみであってもよい。
さらに、パージガス供給機構16は、パージガス供給源23と、パージガス供給源23からパージガスを導くパージガス配管24と、このパージガス配管24に接続され、マニホールド3の側壁を貫通して設けられたパージガスノズル25とを有している。パージガスとしては不活性ガス例えばNガスを好適に用いることができる。
酸素含有ガス配管18、Siソースガス配管21、パージガス配管24には、それぞれ開閉弁18a、21a、24aおよびマスフローコントローラのような流量制御器18b、21b、24bが設けられており、酸素含有ガス、Siソースガスおよびパージガスをそれぞれ流量制御しつつ供給することができるようになっている。
上記処理容器1の側壁の一部には、酸素含有ガスのプラズマを形成するプラズマ生成機構30が形成されている。このプラズマ生成機構30は、上記処理容器1の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長く形成された開口31をその外側より覆うようにして処理容器1の外壁に気密に溶接されたプラズマ区画壁32を有している。プラズマ区画壁32は、断面凹部状をなし上下に細長く形成され、例えば石英で形成されている。また、プラズマ生成機構30は、このプラズマ区画壁32の両側壁の外面に上下方向に沿って互いに対向するようにして配置された細長い一対のプラズマ電極33と、このプラズマ電極33に給電ライン34を介して接続され高周波電力を供給する高周波電源35とを有している。そして、上記プラズマ電極33に高周波電源35から例えば13.56MHzの高周波電圧を印加することにより酸素含有ガスのプラズマが発生し得る。なお、この高周波電圧の周波数は13.56MHzに限定されず、他の周波数、例えば400kHz等を用いてもよい。
上記のようなプラズマ区画壁32を形成することにより、処理容器1の側壁の一部が凹部状に外側へ窪ませた状態となり、プラズマ区画壁32の内部空間が処理容器1の内部空間に一体的に連通された状態となる。また、開口31は、ウエハボート5に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。
上記酸素含有ガス分散ノズル19は、処理容器1内を上方向に延びていく途中で処理容器1の半径方向外方へ屈曲されて、上記プラズマ区画壁32内の最も奥の部分(処理容器1の中心から最も離れた部分)に沿って上方に向けて起立されている。このため、高周波電源35がオンされて両電極33間に高周波電界が形成された際に、酸素含有ガス分散ノズル19のガス噴射孔19aから噴射された酸素ガスがプラズマ化されて処理容器1の中心に向けて拡散しつつ流れる。
上記プラズマ区画壁32の外側には、これを覆うようにして例えば石英よりなる絶縁保護カバー36が取り付けられている。また、この絶縁保護カバー36の内側部分には、図示しない冷媒通路が設けられており、例えば冷却された窒素ガスを流すことにより上記プラズマ電極33を冷却し得るようになっている。
上記2本のSiソースガス分散ノズル22は、処理容器1の内側壁の上記開口31を挟む位置に起立して設けられており、このSiソースガス分散ノズル22に形成された複数のガス噴射孔22aより処理容器1の中心方向に向けてSiソースガスとして1分子内に1個または2個のアミノ基を有するアミノシランガスを吐出し得るようになっている。
一方、処理容器1の開口31の反対側の部分には、処理容器1内を真空排気するための排気口37が設けられている。この排気口37は処理容器1の側壁を上下方向へ削りとることによって細長く形成されている。処理容器1のこの排気口37に対応する部分には、排気口37を覆うように断面凹部状に成形された排気口カバー部材38が溶接により取り付けられている。この排気口カバー部材38は、処理容器1の側壁に沿って上方に延びており、処理容器1の上方にガス出口39を規定している。そして、このガス出口39から図示しない真空ポンプ等を含む真空排気機構により真空引きされる。そして、この処理容器1の外周を囲むようにしてこの処理容器1およびその内部のウエハWを加熱する筒体状の加熱装置40が設けられている。
成膜装置80の各構成部の制御、例えばバルブ18a、21a、24aの開閉による各ガスの供給・停止、マスフローコントローラ18b、21b、24bによるガス流量の制御、および高周波電源35のオン・オフ制御、加熱装置40の制御等は例えばマイクロプロセッサ(コンピュータ)からなるコントローラ50により行われる。コントローラ50には、工程管理者が成膜装置80を管理するためにコマンドの入力操作等を行うキーボードや、成膜装置80の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース51が接続されている。
また、コントローラ50には、成膜装置80で実行される各種処理をコントローラ50の制御にて実現するための制御プログラムや、処理条件に応じて成膜装置80の各構成部に処理を実行させるためのプログラムすなわちレシピが格納された記憶部52が接続されている。レシピは記憶部52の中の記憶媒体に記憶されている。記憶媒体は、ハードディスクや半導体メモリであってもよいし、CD-ROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。
そして、必要に応じて、ユーザーインターフェース51からの指示等にて任意のレシピを記憶部52から呼び出してコントローラ50に実行させることで、コントローラ50の制御下で、成膜装置80での所望の処理が行われる。
次に、以上のように構成された成膜装置を用いて行なわれるシリコン酸化膜104の成膜方法の一例を、図8を参照して説明する。
例えば50〜100枚の半導体ウエハWが搭載された状態のウエハボート5を予め所定の温度に制御された処理容器1内にその下方から上昇させることによりロードし、蓋部9でマニホールド3の下端開口部を閉じることにより処理容器1内を密閉空間とする。ウエハエハWとしては、直径300mmのものが例示される。
そして、処理容器1内を真空ウエハ所定のプロセス圧力に維持するととともに、加熱装置40への供給電力を制御して、ウエハ温度を上昇させてプロセス温度に維持し、ウエハボート5を回転させた状態で成膜処理を開始する。
この際の成膜処理の一例を、図10に示す。本一例は、有機シリコンを含むSiソースガスとして、アミノシランガス、例えば、BTBASを用いた場合であるが、他のアミノシランガスを用いた場合、及びエトキシシランガスを用いた場合においても同様に実施することができる。
図10に示すように、成膜処理の一例においては、有機シリコンを含むSiソースガスを処理容器1内に流してSiソースを吸着させる工程S1と、活性化された酸素種、本例では酸素含有ガスをプラズマ化し、活性化された酸素種としてプラズマにより励起された酸素ラジカルを処理容器1に供給してSiソースガスを酸化させる工程S2とを交互に繰り返す。さらに、本一例おいては、これら工程S1と工程S2との間で処理容器1内から処理容器1内に残留するガスを除去する工程S3を実施する。
具体的には、本一例においては、工程S1において、Siソースガス供給機構15のSiソースガス供給源20からSiソースガスとして1分子内に2個のアミノ基を有するアミノシランガス、例えばBTBASをSiソースガス配管21およびSiソースガス分散ノズル22を介してガス吐出孔22aから処理容器1内にT1の期間供給する。これにより、半導体ウエハにSiソースを吸着させる。このときの期間T1は1〜60secが例示される。また、Siソースガスの流量は10〜500mL/min(sccm)が例示される。また、この際の処理容器1内の圧力は13.3〜665Paが例示される。
工程S2の活性化された酸素種を供給する工程においては、酸素含有ガス供給機構14の酸素含有ガス供給源17から酸素含有ガスとして例えばOガスを酸素含有ガス配管18および酸素含有ガス分散ノズル19を介してガス吐出孔19aから吐出し、このとき、プラズマ生成機構30の高周波電源35をオンにして高周波電界を形成し、この高周波電界により酸素含有ガス、例えばOガスをプラズマ化する。そして、プラズマにより励起された酸素ラジカルを含んでいる酸素含有ガスを処理容器1内に供給する。これにより、半導体ウエハWに吸着されたSiソースが酸化されてSiOが形成される。この処理の期間T2は5〜300secの範囲が例示される。また、酸素含有ガスの流量は半導体ウエハWの搭載枚数によっても異なるが、100〜20000mL/min(sccm)が例示される。また、高周波電源35の周波数は13.56MHzが例示され、パワーとしては5〜1000Wが採用される。また、この際の処理容器1内の圧力は13.3〜665Paが例示される。
この場合に、酸素含有ガスとしては、Oガスの他、NOガス、NOガス、HOガス、Oガスを挙げることができる。これらの酸素含有ガスは、高周波電界を印加してプラズマ化し、プラズマにより励起された酸素ラジカルを含む酸化剤として用いられる。このように酸素含有ガスをプラズマ化し、活性化された酸素種としてプラズマにより励起された酸素ラジカルを含む酸化剤を用いることにより、SiO膜の成膜が300℃以下、さらには100℃以下、理想的には室温でも成膜が可能となる。酸化剤としては活性化された酸素種を含むものであれば、酸素含有ガスをプラズマ化したものに限らない。しかし、活性化された酸素種を含む酸化剤は、酸素含有ガスをプラズマ化して得ることが好ましい。その中でもOガスをプラズマ化して得ることが好ましい。
また、工程S1と工程S2との間に行われる工程S3は、工程S1の後または工程S2の後に処理容器1内に残留するガスを除去して次の工程において所望の反応を生じさせる工程であり、処理容器1内を真空排気しつつパージガス供給機構16のパージガス供給源23からパージガス配管24およびパージガスノズル25を介してパージガスとして不活性ガス例えばNガスを供給することにより行われる。この工程S3の期間T3としては1〜60secが例示される。また、パージガス流量としては50〜5000mL/min(sccm)が例示される。なお、この工程S3は処理容器1内に残留しているガスを除去することができれば、パージガスを供給せずに全てのガスの供給を停止した状態で真空引きを継続して行うようにしてもよい。ただし、パージガスを供給することにより、短時間で処理容器1内の残留ガスを除去することができる。なお、この際の処理容器1内の圧力は13.3〜665Paが例示される。
このようにして、工程S1と工程S2との間に処理容器1内からガスを除去する工程S3を挟んで交互に間欠的にSiソースガスと活性化された酸素種を含む酸化剤、本例ではプラズマにより励起された酸素ラジカルを含む酸化剤とを繰り返し供給することにより、SiO膜の薄い膜を一層ずつ繰り返し積層して所定の厚さとすることができる。
このときの反応例を図11に示す。図11には反応例が模式的に示される。本反応例では、一例としてSiソースガスにBTBASを用いたときを例示する。
図11(a)に示すように、既に堆積されたSiO膜の表面にはOH基が存在しており、そこにSiソースとして例えばBTBASが供給される。そして、Siソースが吸着される工程(工程S1)においては、図11(b)に示すように、BTBASのSiが表面のOH基のOと反応してトリメチルアミノ基を離脱させる。このとき、アミノ基を2個有するアミノシランであるBTBASはOHとの反応性が高く、また、構造的にこのようなSiの反応の障害になり難いため、Siの吸着反応が速やかに進行する。このとき脱離したトリメチルアミノ基は工程S3により処理容器1から除去される。そして、次の酸化工程(工程S2)においては、図11(c)に示すように、トリメチルアミノ基が離脱した後のSi化合物がOガスプラズマのような活性化された酸素種を含む酸化剤によって酸化されてSiOとなる(ただし、表面にはHが吸着してOH基が形成されている)。このように、Oガスプラズマのような活性化された酸素種を含む酸化剤を用いた酸化反応は、純粋な化学的反応と異なり高い温度が不要であるから低温での反応が可能である。
BTBASは、Siソースガスとして用いる1分子内に2個のアミノ基を有するアミノシランガスである。このようなアミノシランガスとしては、上記BTBASの他、BDEAS(ビスジエチルアミノシラン)、BDMAS(ビスジメチルアミノシラン)を挙げることができる。
なお、Siソースガスには、1分子内に3個以上のアミノ基を有するアミノシランガス、を用いることも可能であるし、1分子内に1個のアミノ基を有するアミノシランガスを用いることも可能である。
第1の実施形態は、Siソースとして有機シリコンを含むソースガスを用い、酸化処理において反応が温度を上昇させずに進行するOガスプラズマのような活性化された酸素種を含む酸化剤を用い、これらを交互に供給するので、良好な膜質のSiO膜を100℃以下、さらには室温といった従来では考えられない低温でかつ高い成膜レートで成膜することができる。
このように、本実施形態では、原理的に100℃以下という極低温で成膜することができるが、それよりも高い温度であっても成膜が可能である。ただし、成膜温度が上昇するに従って膜厚ばらつきが大きくなることと、レジストパターン103´に与える熱的な影響とを考慮すると、成膜温度は100℃以下であることが最も好ましい。
次に、第1の実施形態に基づいて実際に成膜した結果について説明する。
まず、酸化剤について実験を行った。SiソースガスとしてBTBASを用い、酸化処理にOガスプラズマを用い、これらを交互に供給することでSiO膜を成膜した。ここでは、処理容器内に300mmウエハを100枚挿入し、成膜温度を100℃とし、BTBASの供給量を30mL/min(sccm)、圧力を53Paにして工程S1を30sec行い、Oガスの供給量を2000mL/min(sccm)、圧力を665Pa、13.56MHzの高周波パワーを50Wにして工程S2を40sec行い、これを42サイクル繰り返してSiO膜を成膜した。なお、処理容器内のパージのため、工程S1前には処理容器内の真空引きを継続しつつ3500mL/min(sccm)の流量でパージガスとしてNガスを7secの間供給し、工程S2の前には処理容器内の真空引きを継続しつつ3500mL/min(sccm)の流量でパージガスとしてNガスを6secの間供給した。
比較のため、工程S2の酸化処理の際の酸化剤としてOガスをプラズマ化せずに250g/Nmの流量で供給した以外は上記条件と同じにしてSiO膜を成膜した。
その結果、本発明に基づいて酸化剤として活性化された酸素種を含むOガスプラズマを用いた場合には、活性化された酸素種を含まないOガスを用いた場合よりも5倍程度の成膜レート(速度)が得られることが確認された。また、Oガスプラズマを用いた場合には、膜厚の面内ばらつきも極めて小さいことも確認された。
次に、成膜温度について実験を行った。
ここでは、SiソースガスとしてBTBASを用い、酸化処理にOガスプラズマを用いて、成膜温度以外は上記実験と同様にして成膜を行った。温度は、室温(25℃)、75℃、100℃、200℃、300℃と変化させて実験を行った。
その結果、100℃以下という低温においても高い成膜レートで成膜することができ、室温でも十分に実用的な成膜が可能であることが確認された。また、100℃以下、特に、75℃〜25℃(室温)という低温域においては、高い成膜レートで、均一性の高い膜圧を得られることが確認された。また、成膜温度が300℃を超えると膜厚ばらつきが大きくなり、成膜温度は300℃以下が好ましいことが確認された。特に、レジストパターンの上に成膜する場合には100℃以下が好ましいのは前述した通りである。
次に、ハードニング処理時の不純物濃度を測定した。
ここでは、SiソースガスとしてBTBASを用い、酸化処理にOガスプラズマを用いて成膜した場合と、Oガスを用いて成膜した場合とで、不純物として炭素C、及び窒素Nの濃度を比較してみた。測定には、二次イオン質量分析(SIMS)を用いた。
その結果を図12に示す。この図に示すように、Oガスプラズマを用いて成膜した場合の炭素Cの濃度、及び窒素Nの濃度を、それぞれ“1”として規格化したとき、Oガスを用いて成膜した場合には、炭素Cの濃度は20倍、窒素Nの濃度は8倍という測定結果が得られた。この結果から、ハードニング処理に、Oガスプラズマを用いて成膜することが、不純物の低減に有効であることが確認された。フォトレジストは一般的にアンモニアに弱く、アンモニアの発生がフォトレジストポイズニングの一因となる。アンモニアの発生を抑制するためには、窒素Nの発生を抑制することが有効である。Oガスプラズマを用いて酸化処理すると、窒素Nの発生量を少なく抑えることができるため、フォトレジストポイズニングを抑制することが可能となる。これは、ハードニング処理に有利である。
このように第1の実施形態によれば、解像限界以下のピッチを持つ微細パターンを形成することができる。これとともに、シリコン酸化膜104を、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給して形成することで、レジストパターン103´の耐熱温度以下の極めて低い温度で、かつ、膜厚の制御性も良く形成することができる。さらに、窒素Nの発生を抑制できるため、フォトレジストポイズニングを抑制することも可能となる。この結果、シリコン酸化膜104の膜厚を分子レベルで制御することができ、CD制御が容易となり、CD均一性の確保が良好になされる。
このようにして形成された薄膜102の微細パターンは、例えば、トランジスタのゲート電極、又はチャネル領域に利用することができる。また、トランジスタのような能動素子に限らず、半導体メモリのビット線のように、高密度に配置される配線や、各種の集積回路パターンに利用することができる。また、薄膜102の微細パターンは、半導体素子を分離する素子分離領域や、あるいは半導体素子を形成する素子領域にも利用することができる。
(第2の実施形態)
図13は、この発明の第2の実施形態に係る微細パターンの形成方法の主要な製造工程を示す断面図である。
図13に示すように、第2の実施形態は、第1の実施形態において説明した第1回トリミング処理とハードニング処理とを同じ成膜装置の中で連続処理するようにしたものである(in-situ処理)。
トリミング処理はエッチング装置またはアッシング装置等を用いて行われ、これに対してハードニング処理は成膜装置を用いて行われている。このため、トリミング処理を終えた半導体基板(ウエハ)は、アッシング装置から一旦引き出されたのち、成膜装置へと搬送されるようになっている。
このように、トリミング処理後、ウエハが装置から引き出されてしまうため、レジストパターン103´の表面に埃等が付着してしまう可能性がある。レジストパターン103´の表面に埃等が付着してしまうと、レジストパターン103´上に形成されるシリコン酸化膜104においては、欠陥密度が増加し、またその膜厚の均一性が損なわれやすくなる。
これに対して、第2の実施形態によれば、トリミング処理を、成膜装置を用いて行うようにし、かつ、トリミング処理とハードニング処理とを同じ成膜装置の中で連続処理するようにする。これにより、レジストパターン103´の表面を清浄に保ったまま、シリコン酸化膜104を成膜でき、その欠陥密度を低減させるとともに、膜厚の均一性を高めることが可能となる、という利点も得ることができる。
さらに、二つの処理を同一装置内で連続的に行うことにより搬送や待機時間(Queuing−time)を低減して、生産効率を向上させてコストを低減できるという効果もある。
この第2の実施形態に係る微細パターンの形成方法に使用される成膜装置の一例を図14に示す。
図14に示すように、第2の実施形態に係る微細パターンの形成方法に使用される成膜装置80´の基本構成は図8に示した成膜装置と同様であり、特に、酸素含有ガス供給機構14と、Siソースガス供給機構15と、プラズマ生成機構30を備えているので、第1の実施形態において説明したシリコン酸化膜104の成膜と同様の成膜を行うことができる。
さらに、成膜装置80´は、オゾン含有ガス供給機構14´を備えている。オゾン含有ガス供給機構14´はオゾン含有ガス供給源17´を備え、このオゾン含有ガス供給源17´は、流量制御器18d及び開閉弁18cを介して、例えば、酸素含有ガス配管18に接続されている。これにより、オゾン含有ガスを処理容器1内に供給することができる。
トリミング処理の際には、オゾン含有ガス、例えば、オゾンガスを用いる。オゾンガスを用いてトリミング処理するときにはプラズマを生成しなくても良い。このため、オゾン含有ガスは、例えば、プラズマ生成機構30においてはプラズマ電極33に高周波電圧を印加しない状態で、分散ノズル19を介して処理容器1内に供給するようにすればよい。
図14に示す成膜装置80´によれば、酸素含有ガス供給機構14、Siソースガス供給機構15及びプラズマ生成機構30に加えてオゾン含有ガス供給機構14´を備えているので、処理容器1内においてオゾン含有ガスを用いてレジストパターン103´をトリミング処理した後、同じ処理容器1内において有機シリコンを含むソースガスと活性化された酸素種とを交互に供給しながらシリコン酸化膜104を成膜することができる。よって、レジストパターン103´の表面を清浄に保ったまま、第1の実施形態において説明した方法にてシリコン酸化膜104を成膜でき、第1の実施形態に比較して、シリコン酸化膜104の欠陥密度を低減させるとともに、膜厚の均一性を高めることができる、という利点を得ることができる。
(第3の実施形態)
図15乃至図23は、この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図である。
第3の実施形態は、この発明に係る微細パターンの形成方法を、実際の半導体プロセスに、より好適に適用できる一例を示すものである。
まず、図15に示すように、第1の実施形態と同様に、半導体基板101上に、薄膜102、例えば、導電性シリコン膜を形成したのち、薄膜102上に、ハードマスク膜106を形成する。ハードマスク膜106は薄膜102と異なる膜からなり、かつ、薄膜102に対してエッチング選択比をとれる膜からなる。例えば、薄膜102が導電性シリコン膜の場合には、ハードマスク膜106には、例えば、シリコン窒化膜などが選ばれる。次いで、ハードマスク膜106上に、反射防止膜200を形成する。
次に、図16に示すように、反射防止膜200上にフォトレジストを塗布し、フォトレジスト膜を形成する。次いで、フォトリソグラフィ技術を用いて、フォトレジスト膜を、所定のピッチp1を持つレジストパターン103に加工する。本例では、第1の実施形態と同様に、レジストパターン103の一例として、ライン・アンド・スペースパターンとし、所定のピッチp1としては、露光装置の解像限界とする。
次に、図17に示すように、レジストパターン103をトリミングし、トリミングされたレジストパターン103´を得る(第1回トリミング処理)。トリミング処理の条件は、第1の実施形態と同様の条件で良い。
次に、図18に示すように、トリミングされたレジストパターン103´及び反射防止膜200上にシリコン酸化膜104を形成する(ハードニング処理)。第2の実施形態においてもシリコン酸化膜104の形成は第1の実施形態と同様であり、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給しながら形成される。
次に、図19に示すように、シリコン酸化膜104上にフォトレジストを塗布し、フォトレジスト膜を形成する。次いで、フォトリソグラフィ技術を用いて、フォトレジスト膜、所定のピッチp2を持つレジストパターン105に加工する。本例では、レジストパターン105は、トリミングされたレジストパターン103´と同じライン・アンド・スペースパターンである。また、レジストパターン105の、所定のピッチp2は、露光装置の解像限界とする。さらに、本例のレジストパターン105は、トリミングされたレジストパターン103´間に配置され、レジストパターン103´とレジストパターン105とが交互に配置されるように加工する。
次に、図20に示すように、レジストパターン105をトリミングし、トリミングされたレジストパターン105´を得る(第2回トリミング処理)。トリミング処理の条件は、第1の実施形態と同様の条件で良い。第2回トリミング処理により、レジストパターン103´、及び105´からなるレジストパターンが形成され、第1の実施形態と同様に、解像限界以下のピッチを持つレジストパターンが形成される。
次に、図21に示すように、レジストパターン103´及び105´をエッチングのマスクに用いて反射防止膜200、及びシリコン酸化膜104をエッチングし、さらに、図22に示すようにハードマスク膜106をエッチングする。
次に、図23に示すように、反射防止膜200、シリコン酸化膜104、レジストパターン103´及び105´を除去した後、ハードマスク膜106をエッチングのマスクに用いて薄膜102をエッチングし、薄膜102を所望とする微細パターンに加工する。ハードマスク膜106のピッチp3´はレジストパターン103´及び105´のピッチp3とほぼ同じであり、解像限界以下である。よって、ハードマスク膜106をエッチングのマスクに用いてエッチングされた薄膜102のピッチp4は解像限界以下になる。このようにして、第1の実施形態では、解像限界以下のピッチを持つ微細パターンを形成することができる。
第3の実施形態においても、ハードニング処理の際、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給してシリコン酸化膜104を形成するので、第1の実施形態と同様に、解像限界以下のピッチを持つ微細パターンのCD均一性を、より良好にすることができる。
さらに、第3の実施形態によれば、薄膜102を、ハードマスク膜106をエッチングのマスクに用いてエッチングするので、レジストパターン103´及び105´をエッチングのマスクに用いてエッチングする場合に比較して、膜厚が厚い薄膜102を加工できる、という利点を得ることができる。
また、第3の実施形態は第2の実施形態と組み合わせることが可能であり、第1回トリミング処理(図17参照)とハードニング処理(図18参照)とを、同じ成膜装置の中で連続処理することができる。この場合には、第2の実施形態と同様に、レジストパターン103´の表面を清浄に保ったまま、シリコン酸化膜104を成膜できるので、シリコン酸化膜104の膜厚の均一性を、より高めることが可能となる。
(第4の実施形態)
図24乃至図28は、この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図である。
まず、図1乃至図4を参照して説明した方法に従って、図24に示す構造を得る。
次に、図25に示すように、シリコン酸化膜104上に反射防止材料を塗布し、反射防止膜(BARC)201を形成する。この際、反射防止材料は、トリミングされたレジストパターン103´によって生じた凹凸が反射防止材料によって埋め込まれるように厚く塗布する。これにより、シリコン酸化膜104上には、下層の凹凸を埋め込み、かつ、上面が平坦とされた反射防止膜201が形成される。
次に、図26に示すように、反射防止膜201上にフォトレジストを塗布し、フォトレジスト膜を形成する。次いで、フォトリソグラフィ技術を用いて、フォトレジスト膜を、所定のピッチp2を持つレジストパターン105に加工する。本例においても、レジストパターン105は、トリミングされたレジストパターン103´と同じライン・アンド・スペースパターンである。また、レジストパターン105の、所定のピッチp2は、露光装置の解像限界とする。さらに、本例のレジストパターン105は、トリミングされたレジストパターン103´間上に配置され、レジストパターン103´とレジストパターン105とが交互に配置されるように加工する。
次に、図27に示すように、レジストパターン105をトリミングし、トリミングされたレジストパターン105´を得る(第2回トリミング処理)。トリミング処理の条件は、第1の実施形態と同様の条件で良い。これにより、反射防止膜201上に、トリミングされたレジストパターン105´を備え、かつ、反射防止膜201内に、トリミングされたレジストパターン103´を備えた構造が得られる。
次に、図28に示すように、レジストパターン105´をエッチングのマスクに用いて反射防止膜201をエッチングする。反射防止膜201のエッチングを進行させると、レジストパターン103´上に形成されたシリコン酸化膜104が露出する。引き続きエッチングを続行し、露出したシリコン酸化膜104をエッチングする。これにより、レジストパターン103´が露出する。露出したレジストパターン103´と、及び反射防止膜201上のレジストパターン105´とをエッチングのマスクに用いつつ、引き続きエッチングを続行し、反射防止膜201、シリコン酸化膜104、反射防止膜200、及び薄膜102をエッチングする。これにより、薄膜102は、所望とする微細パターンに加工される。この後、薄膜102上から、レジストパターン103´、105´シリコン酸化膜104、反射防止膜200、201を除去することで、図28に示す構造が得られる。
このような第4の実施形態によれば、反射防止膜201を備えているので、レジストパターン105を精度良く形成できる、という利点を得ることができる。
しかも、本例の上記反射防止膜201は上面が平坦化されているので、上記レジストパターン105は、さらに精度良く形成できる。
よって、第4の実施形態によれば、薄膜102からなり、解像限界以下のピッチを持つ微細パターンのCD均一性を、さらに良好にできる。
第4の実施形態は、上記第2の実施形態や第3の実施形態と組み合わせて実施することが可能である。
以上、本発明をいくつかの実施形態を参照して説明したが、本発明は上記実施形態に限定されることなく、種々変形可能である。例えば、上記実施形態では本発明を複数の半導体ウエハを搭載して一括して成膜を行うバッチ式の成膜装置に適用した例を示したが、これに限らず、1枚のウエハ毎に成膜を行う枚葉式の成膜装置に適用することもできる。
さらに、上記実施形態は、2枚から15枚程度の少量一括処理を目的としたセミ・バッチ方式、又はミニ・バッチ方式の成膜装置に適用することができる。
また、エトキシシランガス、及びアミノシランガスとしては、上記実施形態に示したものに限らない。また、活性化された酸素種として種々の酸素含有ガスプラズマを例示したが、これに限らず、ラジカル化している酸素を含むものであれば適用可能である。
さらに、上記実施形態においては、Siソースガスと活性化された酸素種とを完全に交互に供給したが、Siソースガスを供給するときにも活性化された酸素種を供給するようにしてもよい。
また、上記実施形態においては、酸化シリコン膜を低温成膜する例について説明したが、酸化シリコン膜の他、窒化シリコン膜や、炭化シリコン膜なども成膜することができる。
さらに、上記実施形態においては、プラズマを形成する機構を処理容器に一体的に組み込んだ例について説明したが、これに限定されず、処理容器とは別体で設け処理容器の外で予めプラズマ化して処理容器に導入するリモートプラズマ装置を用いてもよい。
さらに、被処理体としては、半導体ウエハに限定されず、LCDガラス基板等の他の基板にも本発明を適用することができる。
さらに、フォトレジスト膜を形成する前に、反射防止膜200、201を形成したが、反射防止膜200、201は必要に応じて形成されれば良い。
さらに、レジストパターン103、及び105のトリミングも、必要に応じてなされれば良い。
この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法に使用される成膜装置の一例を示す縦断面図 シリコン酸化膜104を形成するための成膜装置の一例を示す横断面図 シリコン酸化膜104を形成するための成膜方法におけるガスの供給のタイミングを示すタイミングチャート シリコン酸化膜105の成膜方法を実施する際の反応を説明するための模式図 ガスプラズマを用いて成膜した場合と、Oガスを用いて成膜した場合とで、不純物量を比較した図 この発明の第2の実施形態に係る微細パターンの形成方法の主要な製造工程を示す断面図 この発明の第2の実施形態に係る微細パターンの形成方法に使用される成膜装置の一例を示す縦断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第4の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図
符号の説明
101;半導体基板
102;薄膜
103;フォトレジストパターン
103´;トリミングされたフォトレジストパターン
104;シリコン酸化膜
105;フォトレジストパターン
105´;トリミングされたフォトレジストパターン
106;ハードマスク膜
200、201;反射防止膜

Claims (18)

  1. 基板上に、薄膜を形成する工程と、
    前記薄膜上に、第1レジスト膜を形成する工程と、
    フォトリソグラフィ技術を用いて、前記第1レジスト膜を、所定のピッチを持つ第1レジストパターンに加工する工程と、
    有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記第1レジストパターン及び前記薄膜上に、前記薄膜及び前記第1レジストパターンとは異なるシリコン酸化膜を形成する工程と、
    前記シリコン酸化膜上に、第2レジスト膜を形成する工程と、
    フォトリソグラフィ技術を用いて、前記第2レジスト膜を、所定のピッチを持つ第2レジストパターンに加工する工程と、
    前記シリコン酸化膜が形成された前記第1レジストパターン及び前記第2レジストパターンをマスクに用いて、前記薄膜を加工する工程と、
    を具備することを特徴とする微細パターンの形成方法。
  2. 基板上に、薄膜を形成する工程と、
    前記薄膜上に、前記薄膜とは異なる膜からなるハードマスク膜を形成する工程と、
    前記ハードマスク膜上に、第1レジスト膜を形成する工程と、
    フォトリソグラフィ技術を用いて、前記第1レジスト膜を、所定のピッチを持つ第1レジストパターンに加工する工程と、
    有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記第1レジストパターン及び前記ハードマスク膜上に、前記ハードマスク膜及び前記第1レジストパターンとは異なるシリコン酸化膜を形成する工程と、
    前記シリコン酸化膜上に、第2レジスト膜を形成する工程と、
    フォトリソグラフィ技術を用いて、前記第2レジスト膜を、所定のピッチを持つ第2レジストパターンに加工する工程と、
    前記シリコン酸化膜が形成された前記第1レジストパターン及び前記第2レジストパターンをマスクに用いて、前記ハードマスク膜を加工する工程と、
    前記加工されたハードマスク膜をマスクに用いて、前記薄膜を加工する工程と、
    を具備することを特徴とする微細パターンの形成方法。
  3. 前記第1レジスト膜を形成する工程の前に、前記ハードマスク膜上に、第1反射防止膜を形成する工程、をさらに具備することを特徴とする請求項2に記載の微細パターンの形成方法。
  4. 前記第1レジスト膜を形成する工程の前に、前記ハードマスク膜上に、第1反射防止膜を形成する工程と、
    前記第2レジスト膜を形成する工程の前に、前記シリコン酸化膜上に、第2反射防止膜を形成する工程と、をさらに具備することを特徴とする請求項2に記載の微細パターンの形成方法。
  5. 前記第2反射防止膜の上面が平坦化されることを特徴とする請求項4に記載の微細パターンの形成方法。
  6. 前記第1レジスト膜を前記第1レジストパターンに加工する工程の後に、前記第1レジストパターンをトリミングする工程と、
    前記第2レジスト膜を前記第2レジストパターンに加工する工程の後に、前記第2レジストパターンをトリミングする工程と、をさらに具備することを特徴とする請求項1乃至請求項5いずれか一項に記載の微細パターンの形成方法。
  7. 前記第1レジストパターンをトリミングする工程と、前記シリコン酸化膜を形成する工程とを、同一の成膜装置内で連続して行うことを特徴とする請求項6に記載の微細パターンの形成方法。
  8. 前記第1レジストパターンをトリミングする工程と前記第2レジストパターンをトリミングする工程とのうち、少なくとも一方は酸素含有ガスプラズマ、またはオゾンガスを用いてレジストパターンをトリミングすることを特徴とする請求項6又は請求項7に記載の微細パターンの形成方法。
  9. 前記ソースガスとして、アミノシラン系プリカーサーを用いることを特徴とする請求項1乃至請求項8いずれか一項に記載の微細パターンの形成方法。
  10. 前記アミノシラン系プリカーサーは、1価または2価のアミノシラン系プリカーサーであることを特徴とする請求項9に記載の微細パターンの形成方法。
  11. 前記1価または2価のアミノシラン系プリカーサーは、
    BTBAS(ビスターシャリブチルアミノシラン)、
    BDMAS(ビスジメチルアミノシラン)、
    BDEAS(ビスジエチルアミノシラン)、
    DMAS(ジメチルアミノシラン)、
    DEAS(ジエチルアミノシラン)、
    DPAS(ジプロピルアミノシラン)、
    BAS(ブチルアミノシラン)、
    DIPAS(ジイソプロピルアミノシラン)、
    BEMAS(ビスエチルメチルアミノシラン)、
    から選択された少なくとも1種を用いることを特徴とする請求項10に記載の微細パターンの形成方法。
  12. 前記活性化された酸素種として、プラズマにより励起された酸素ラジカルを用いることを特徴とする請求項1乃至請求項11いずれか一項に記載の微細パターンの形成方法。
  13. 前記プラズマにより励起された酸素ラジカルは、Oガス、NOガス、NOガス、HOガス、Oガスのいずれかから選択される少なくとも1種をプラズマ化して得ることを特徴とする請求項12に記載の微細パターンの形成方法。
  14. 前記シリコン酸化膜は真空保持可能な処理容器内で形成され、前記ソースガスを前記処理容器内へ供給する工程と、前記活性化された酸素種を前記処理容器内へ供給する工程とを交互に実施して形成することを特徴とする請求項1乃至請求項13いずれか一項に記載の微細パターンの形成方法。
  15. 前記ソースガスを前記処理容器内へ供給する工程と、前記活性化された酸素種を前記処理容器内へ供給する工程との間に、前記処理容器内に残留しているガスを除去する工程を挿入することを特徴とする請求項14に記載の微細パターンの形成方法。
  16. 前記処理容器内に残留しているガスを除去する工程は、前記処理容器内を真空引きしながら前記処理容器内にパージガスを導入することを特徴とする請求項15に記載の微細パターンの形成方法。
  17. 前記シリコン酸化膜を形成する際の成膜温度が前記レジスト膜の耐熱温度以下であることを特徴とする請求項1乃至請求項16いずれか一項に記載の微細パターンの形成方法。
  18. 前記成膜温度は100℃以下であることを特徴とする請求項17に記載の微細パターンの形成方法。
JP2008149272A 2007-06-08 2008-06-06 微細パターンの形成方法 Active JP4659856B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008149272A JP4659856B2 (ja) 2007-06-08 2008-06-06 微細パターンの形成方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007153184 2007-06-08
JP2008149272A JP4659856B2 (ja) 2007-06-08 2008-06-06 微細パターンの形成方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010289449A Division JP5156086B2 (ja) 2007-06-08 2010-12-27 微細パターンの形成方法

Publications (2)

Publication Number Publication Date
JP2009016815A JP2009016815A (ja) 2009-01-22
JP4659856B2 true JP4659856B2 (ja) 2011-03-30

Family

ID=40093792

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008149272A Active JP4659856B2 (ja) 2007-06-08 2008-06-06 微細パターンの形成方法
JP2010289449A Active JP5156086B2 (ja) 2007-06-08 2010-12-27 微細パターンの形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010289449A Active JP5156086B2 (ja) 2007-06-08 2010-12-27 微細パターンの形成方法

Country Status (5)

Country Link
US (2) US7989354B2 (ja)
JP (2) JP4659856B2 (ja)
KR (3) KR101073858B1 (ja)
TW (2) TW200917335A (ja)
WO (1) WO2008149988A1 (ja)

Families Citing this family (373)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR101011490B1 (ko) * 2007-06-08 2011-01-31 도쿄엘렉트론가부시키가이샤 패터닝 방법
WO2008149988A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
US8004045B2 (en) * 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
JP5384852B2 (ja) * 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009294439A (ja) * 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
JP2010027978A (ja) * 2008-07-23 2010-02-04 Toshiba Corp パターン形成方法
JP5236716B2 (ja) * 2008-09-29 2013-07-17 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
JP2010161162A (ja) 2009-01-07 2010-07-22 Tokyo Electron Ltd 微細パターンの形成方法
JP5329265B2 (ja) * 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5275093B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US8268543B2 (en) * 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
JP5363856B2 (ja) * 2009-03-30 2013-12-11 富士フイルム株式会社 パターン形成方法
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5385001B2 (ja) * 2009-05-08 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理装置
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5023128B2 (ja) 2009-10-07 2012-09-12 東京エレクトロン株式会社 塗布現像装置及び塗布現像方法
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
KR101662702B1 (ko) * 2009-12-31 2016-10-06 삼성전자 주식회사 반도체 소자의 제조 방법
JP2011176177A (ja) * 2010-02-25 2011-09-08 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置
US8252691B2 (en) * 2010-04-14 2012-08-28 Asm Genitech Korea Ltd. Method of forming semiconductor patterns
JP5192016B2 (ja) * 2010-05-07 2013-05-08 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
JP5692850B2 (ja) * 2010-12-28 2015-04-01 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9102121B2 (en) 2012-05-03 2015-08-11 Micron Technology, Inc. Substrates and methods of forming a pattern on a substrate
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR20140029050A (ko) 2012-08-31 2014-03-10 삼성전자주식회사 패턴 형성 방법
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI471669B (zh) * 2012-11-15 2015-02-01 Chunghwa Picture Tubes Ltd 窄間距線路之形成方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP5519059B2 (ja) * 2013-05-23 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9760008B2 (en) * 2013-12-05 2017-09-12 Tokyo Electron Limited Direct current superposition freeze
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150109088A (ko) * 2014-03-19 2015-10-01 에스케이하이닉스 주식회사 반도체 장치의 미세패턴 형성방법
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9165765B1 (en) 2014-09-09 2015-10-20 Tokyo Electron Limited Method for patterning differing critical dimensions at sub-resolution scales
JP6382055B2 (ja) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6249970B2 (ja) * 2015-01-30 2017-12-20 東京エレクトロン株式会社 半導体装置の製造方法
JP6559430B2 (ja) 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017170411A1 (ja) 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6541618B2 (ja) * 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6788400B2 (ja) 2016-07-08 2020-11-25 東京エレクトロン株式会社 被処理体を処理する方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6759004B2 (ja) * 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP6817168B2 (ja) * 2017-08-25 2021-01-20 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6895352B2 (ja) 2017-09-12 2021-06-30 東京エレクトロン株式会社 被加工物を処理する方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196321A (ja) * 1990-11-28 1992-07-16 Hitachi Ltd 成膜方法および装置
JPH09205081A (ja) * 1995-12-29 1997-08-05 Hyundai Electron Ind Co Ltd 半導体素子の微細パターン形成方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62259445A (ja) * 1986-05-06 1987-11-11 Hitachi Ltd パタ−ン形成方法
JP2882301B2 (ja) * 1995-01-13 1999-04-12 日本電気株式会社 半導体装置の製造方法
JP3014334B2 (ja) * 1996-11-29 2000-02-28 キヤノン販売株式会社 半導体装置の製造方法
JPH11135628A (ja) * 1997-10-31 1999-05-21 Nippon Steel Corp 半導体装置の製造方法
KR100327346B1 (ko) * 1999-07-20 2002-03-06 윤종용 선택적 폴리머 증착을 이용한 플라즈마 식각방법 및 이를이용한 콘택홀 형성방법
KR100343144B1 (ko) * 1999-10-06 2002-07-05 윤종용 원자층 증착법을 이용한 박막 형성 방법
US6365428B1 (en) * 2000-06-15 2002-04-02 Sandia Corporation Embedded high-contrast distributed grating structures
KR20030003906A (ko) * 2001-07-04 2003-01-14 삼성전자 주식회사 반도체 소자의 콘택 형성방법 및 그에 따라 제조된 반도체메모리 소자
TW527647B (en) * 2002-02-06 2003-04-11 Jusung Eng Co Ltd Thin film deposition method
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR20070003336A (ko) * 2005-07-01 2007-01-05 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4803578B2 (ja) * 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
JP4193866B2 (ja) * 2006-04-27 2008-12-10 住友電気工業株式会社 半導体発光素子の製造方法
JP2008240077A (ja) * 2007-03-28 2008-10-09 Canon Anelva Corp Ald装置及びこれを用いた成膜方法
KR101011490B1 (ko) * 2007-06-08 2011-01-31 도쿄엘렉트론가부시키가이샤 패터닝 방법
WO2008149988A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196321A (ja) * 1990-11-28 1992-07-16 Hitachi Ltd 成膜方法および装置
JPH09205081A (ja) * 1995-12-29 1997-08-05 Hyundai Electron Ind Co Ltd 半導体素子の微細パターン形成方法

Also Published As

Publication number Publication date
WO2008149988A1 (ja) 2008-12-11
KR101101785B1 (ko) 2012-01-05
US8383522B2 (en) 2013-02-26
KR101073858B1 (ko) 2011-10-14
TWI364783B (ja) 2012-05-21
JP5156086B2 (ja) 2013-03-06
TW200917335A (en) 2009-04-16
US7989354B2 (en) 2011-08-02
JP2011082560A (ja) 2011-04-21
TWI498941B (zh) 2015-09-01
US20110237082A1 (en) 2011-09-29
KR20110117226A (ko) 2011-10-26
KR101217778B1 (ko) 2013-01-02
JP2009016815A (ja) 2009-01-22
TW201145355A (en) 2011-12-16
KR20090096408A (ko) 2009-09-10
KR20110036129A (ko) 2011-04-06
US20100130015A1 (en) 2010-05-27

Similar Documents

Publication Publication Date Title
JP4659856B2 (ja) 微細パターンの形成方法
JP4589984B2 (ja) 微細パターンの形成方法
US11881379B2 (en) Film deposition apparatus for fine pattern forming
US7696106B2 (en) Film formation method and apparatus for semiconductor process
TWI460792B (zh) 膜形成方法、膜形成設備及膜形成設備之使用方法
US8349544B2 (en) Method of manufacturing semiconductor device
JP5682290B2 (ja) 炭素含有薄膜のスリミング方法及び酸化装置
JP5258229B2 (ja) 成膜方法および成膜装置
US20100233885A1 (en) Substrate processing method
JP6124477B2 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
US20110039389A1 (en) Manufacturing method of semiconductor device
US9142403B2 (en) Method of forming boron-containing silicon oxycarbonitride film and method of forming silicon oxycarbonitride film
JP5236716B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100817

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101012

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101130

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101227

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140107

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4659856

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250