JP4589984B2 - 微細パターンの形成方法 - Google Patents

微細パターンの形成方法 Download PDF

Info

Publication number
JP4589984B2
JP4589984B2 JP2008149271A JP2008149271A JP4589984B2 JP 4589984 B2 JP4589984 B2 JP 4589984B2 JP 2008149271 A JP2008149271 A JP 2008149271A JP 2008149271 A JP2008149271 A JP 2008149271A JP 4589984 B2 JP4589984 B2 JP 4589984B2
Authority
JP
Japan
Prior art keywords
film
forming
gas
fine pattern
thin film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008149271A
Other languages
English (en)
Other versions
JP2009016814A (ja
Inventor
滋 中島
一秀 長谷部
保華 周
光秋 岩下
礼二 新納
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008149271A priority Critical patent/JP4589984B2/ja
Publication of JP2009016814A publication Critical patent/JP2009016814A/ja
Application granted granted Critical
Publication of JP4589984B2 publication Critical patent/JP4589984B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2

Description

この発明は、半導体プロセスに用いられ、露光装置の解像限界以下のパターンを形成する微細パターンの形成方法に関する。
半導体デバイスの高集積化に伴って、製造プロセスに要求される配線や分離幅は、微細化されてきている。一般的に、微細パターンは、フォトリソグラフィ技術を用いてレジストパターンを形成し、該レジストパターンをエッチングのマスクに用いて下地の各種薄膜をエッチングすることで形成される。
微細パターンを形成するためにはフォトリソグラフィ技術が重要であるが、近時の半導体デバイスの微細化は、フォトリソグラフィ技術の解像限界以下を要求するまでに至っている。
解像限界以下のパターンを形成する技術としては、例えば、特許文献1に記載されている。特許文献1においては、基本的に、下地膜上に解像限界の間隔でシリコン窒化膜(以下本明細書では犠牲膜という)を形成し、該犠牲膜の側壁上に側壁シリコン酸化膜(以下本明細書では側壁スペーサという)を形成し、上記犠牲膜を除去するとともに側壁スペーサを残し、残った側壁スペーサをエッチングのマスクに用いて下地膜をエッチングする。
このような技術によれば、側壁スペーサの幅を犠牲膜の幅よりも細くできるので、この側壁スペーサをエッチングのマスクに用いることで、解像限界以下の幅を持つ下地膜のパターンを形成することができる。
特開2000−173979号公報
フォトリソグラフィ技術の解像限界以下の幅を持つ微細パターンを形成する一つの手法として、特許文献1に記載されるように、犠牲膜を利用した微細パターンの形成方法がある。
しかし、側壁スペーサを形成するための犠牲膜は、犠牲膜上にレジスト膜を形成し、フォトリソグラフィ技術を用いてレジスト膜を、所定の間隔を持つパターンに加工し、加工されたレジスト膜をエッチングのマスクに用いてエッチングすることで形成される。このため、製造工程が多くなる、という事情がある。
この発明は、解像限界以下の幅を持つ微細パターンを、少ない製造工程で形成できる微細パターンの形成方法を提供することを目的とする。
上記課題を解決するために、この発明の第1態様に係る微細パターンの形成方法は、基板上に、薄膜を形成する工程と、前記薄膜上に、レジスト膜を最上層に設けた多層膜を形成する工程と、前記多層膜を、フォトリソグラフィ技術を用いて、所定の間隔を持つパターンに加工する工程と、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記加工された多層膜、及び前記薄膜上に、前記薄膜及び前記多層膜とは異なったシリコン酸化膜を形成する工程と、前記シリコン酸化膜を後退させ、前記加工された多層膜の側壁上に側壁スペーサを形成する工程と、前記加工された多層膜を除去する工程と、前記側壁スペーサをマスクに用いて、前記薄膜を加工する工程と、を具備する。
また、この発明の第2態様に係る微細パターンの形成方法は、基板上に、第1の薄膜を形成する工程と、前記第1の薄膜上に、この第1の薄膜とは異なる膜からなる第2の薄膜を形成する工程と、前記第2の薄膜上に、この第2の薄膜とは異なる膜からなるハードマスク膜を形成する工程と、前記ハードマスク膜上に、レジスト膜を最上層に設けた多層膜を形成する工程と、前記多層膜を、フォトリソグラフィ技術を用いて、所定の間隔を持つパターンに加工する工程と、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記加工された多層膜、及び前記ハードマスク膜上に、前記ハードマスク膜及び前記多層膜とは異なるシリコン酸化膜を形成する工程と、前記シリコン酸化膜を後退させ、前記加工された多層膜の側壁上に側壁スペーサを形成する工程と、前記加工された多層膜を除去する工程と、前記側壁スペーサをマスクに用いて、前記ハードマスク膜を加工する工程と、前記ハードマスク膜をマスクに用いて、前記第2の薄膜を加工する工程と、を具備する。
この発明によれば、解像限界以下の幅を持つ微細パターンを、少ない製造工程で形成できる微細パターンの形成方法を提供できる。
以下、添付図面を参照してこの発明の実施形態について具体的に説明する。
(第1の実施形態)
図1乃至図6は、この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図である。
第1の実施形態は、この発明に係る微細パターンの形成方法の基本的なプロセスフローを例示するものである。
まず、図1に示すように、基板、本例では半導体基板101上に、薄膜102を形成する。本明細書においては、半導体基板101は、半導体、例えば、シリコン基板のみを示すものではなく、半導体基板内、又は半導体基板上に形成された半導体素子や集積回路パターンに対応した導電膜、これらを絶縁する層間絶縁膜が形成された構造体を含む、と定義する。薄膜102は、後に微細パターンに加工される膜であり、例えば、後に形成される側壁スペーサと異なった絶縁膜であっても良いし、導電膜であっても良い。本例では、一例として、薄膜102を導電性ポリシリコンとする。次いで、薄膜102上にフォトレジストを塗布し、フォトレジスト膜103を形成する。
次に、図2に示すように、フォトリソグラフィ技術を用いて、フォトレジスト膜103を、所定の間隔を持つレジストパターン103´に加工する。本例では、レジストパターン103´の一例として、ライン・アンド・スペースパターンとし、所定の間隔としては、露光装置の解像限界とする。例えば、本例では、レジストパターン103´の、平面方向に沿った幅Wrが解像限界である。
次に、図3に示すように、レジストパターン103´、及び薄膜102上に、レジストパターン103´及び薄膜102とは異なったシリコン酸化膜105を形成する。本例のシリコン酸化膜105は、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給することでレジストパターン103´、及び薄膜102上に形成する。有機シリコンを含むソースガスと活性化された酸素種とを交互に供給しながら、シリコン酸化膜105を原子層レベル、もしくは分子層レベルで順次形成していくことで、シリコン酸化膜105を極めて低い温度、例えば、フォトレジスト膜103(レジストパターン103´)の耐熱温度以下の温度で形成することができる。活性化された酸素種の例としては、プラズマにより励起された酸素ラジカル、熱により励起された酸素ラジカル、及び光により励起された酸素ラジカル等を挙げることができる。また、光の例としては、レーザーや、波長350nm以下の紫外線を挙げることができる。
次に、図4に示すように、図3に示した構造体をエッチバックし、シリコン酸化膜105を、その表面から後退させ、レジストパターン103´の側壁上に側壁スペーサ105´を形成する。エッチバックの一例は、異方性エッチングであり、例えば、RIE法などを利用すれば良い。側壁スペーサ105´の、平面方向沿った幅WSiOは、レジストパターン103´の幅Wrよりも狭くできるので、幅WSiOは、解像限界以下にできる。
次に、図5に示すように、側壁スペーサ105´及び薄膜102をマスクに用いて、レジストパターン103´を除去する。レジストパターン103´の除去の一例は、アッシングである。
次に、図6示すように、側壁スペーサ105´をエッチングのマスクに用いて、薄膜102をエッチングし、薄膜102を、所定の間隔を持つパターンに加工する。加工された薄膜102の、平面方向沿った幅Wtは側壁スペーサ105´の幅WSiOと等しい、もしくはほぼ等しくなるので、幅Wtは、解像限界以下にできる。
このようにして、第1の実施形態によれば、解像限界以下の幅Wtを有する薄膜102の微細パターンを形成することができる。
さらに、第1の実施形態では、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給して薄膜102及びレジストパターン103´上に、シリコン酸化膜105を形成する。これにより、シリコン酸化膜105を極めて低い温度、例えば、フォトレジスト膜103(レジストパターン103´)の耐熱温度以下の温度で形成することができる。これについて、以下、詳しく説明する。
図7はシリコン酸化膜105の成膜に使用される成膜装置の一例を示す縦断面図、図8は図7の成膜装置を示す横断面図、図9は本実施形態におけるガスの供給のタイミングを示すタイミングチャートである。なお、図8においては、加熱装置を省略している。
図7及び図8に示すように、成膜装置80は、下端が開口された有天井の円筒体状の処理容器1を有している。この処理容器1の全体は、例えば石英により形成されており、この処理容器1内の天井には、石英製の天井板2が設けられて封止されている。また、この処理容器1の下端開口部には、例えばステンレススチールにより円筒体状に成形されたマニホールド3がOリング等のシール部材4を介して連結されている。
上記マニホールド3は処理容器1の下端を支持しており、このマニホールド3の下方から被処理体として多数枚、例えば50〜100枚の半導体ウエハWを多段に載置可能な石英製のウエハボート5が処理容器1内に挿入可能となっている。このウエハボート5は3本の支柱6を有し(図8参照)、支柱6に形成された溝により多数枚のウエハWが支持されるようになっている。
このウエハボート5は、石英製の保温筒7を介してテーブル8上に載置されており、このテーブル8は、マニホールド3の下端開口部を開閉する例えばステンレススチール製の蓋部9を貫通する回転軸10上に支持される。
そして、この回転軸10の貫通部には、例えば磁性流体シール11が設けられており、回転軸10を気密にシールしつつ回転可能に支持している。また、蓋部9の周辺部とマニホールド3の下端部との間には、例えばOリングよりなるシール部材12が介設されており、これにより処理容器1内のシール性を保持している。
上記の回転軸10は、例えばボートエレベータ等の昇降機構(図示せず)に支持されたアーム13の先端に取り付けられており、ウエハボート5および蓋部9等を一体的に昇降して処理容器1内に対して挿脱されるようになっている。なお、上記テーブル8を上記蓋部9側へ固定して設け、ウエハボート5を回転させることなくウエハWの処理を行うようにしてもよい。
また、成膜装置80は、処理容器1内へ酸素含有ガス、例えばOガスを供給する酸素含有ガス供給機構14と、処理容器1内へSiソースガスを供給するSiソースガス供給機構15と、処理容器1内へパージガスとして不活性ガス、例えばNガスを供給するパージガス供給機構16とを有している。
本実施形態においては、シリコン酸化膜105を成膜するためのSiソースガスとして有機シリコンを含むSiソースガスを用いる。有機シリコンを含むSiソースガスの例は、アミノシラン系プリカーサーである。アミノシラン系プリカーサーの例は、1価または2価のアミノシラン系プリカーサーである。1価または2価のアミノシラン系プリカーサーの具体的な例は、例えば、BTBAS(ビスターシャリブチルアミノシラン)、BDMAS(ビスジメチルアミノシラン)、BDEAS(ビスジエチルアミノシラン)、DMAS(ジメチルアミノシラン)、DEAS(ジエチルアミノシラン)、DPAS(ジプロピルアミノシラン)、BAS(ブチルアミノシラン)、DIPAS(ジイソプロピルアミノシラン)、及びBEMAS(ビスエチルメチルアミノシラン)である。
また、アミノシラン系プリカーサーとしては、3価のアミノシラン系プリカーサーを用いることもできる。3価のアミノシラン系プリカーサーの例は、TDMAS(トリジメチルアミノシラン)である。
また、有機シリコンを含むSiソースガスとしては、アミノシラン系プリカーサーの他、エトキシシラン系プリカーサーを用いることもできる。エトキシシラン系プリカーサーの例は、例えば、TEOS(テトラエトキシシラン)である。
酸素含有ガス供給機構14は、酸素含有ガス供給源17と、酸素含有ガス供給源17から酸素含有ガスを導く酸素含有ガス配管18と、この酸素含有ガス配管18に接続され、マニホールド3の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる石英管よりなる酸素含有ガス分散ノズル19とを有している。この酸素含有ガス分散ノズル19の垂直部分には、複数のガス吐出孔19aが所定の間隔を隔てて形成されており、各ガス吐出孔19aから水平方向に処理容器1に向けて略均一に酸素含有ガス、例えばOガスを吐出することができるようになっている。
また、Siソースガス供給機構15は、Siソースガス供給源20と、このSiソースガス供給源20からSiソースガスを導くSiソースガス配管21と、このSiソースガス配管21に接続され、マニホールド3の側壁を内側へ貫通して上方向へ屈曲されて垂直に延びる石英管よりなるSiソースガス分散ノズル22と、を有している。ここではSiソースガス分散ノズル22は2本設けられており(図8参照)、各Siソースガス分散ノズル22には、その長さ方向に沿って複数のガス吐出孔22aが所定の間隔を隔てて形成されており、各ガス吐出孔22aから水平方向に処理容器1内に略均一に有機シリコンを含むSiソースガスを吐出することができるようになっている。なお、Siソースガス分散ノズル22は1本のみであってもよい。
さらに、パージガス供給機構16は、パージガス供給源23と、パージガス供給源23からパージガスを導くパージガス配管24と、このパージガス配管24に接続され、マニホールド3の側壁を貫通して設けられたパージガスノズル25とを有している。パージガスとしては不活性ガス例えばNガスを好適に用いることができる。
酸素含有ガス配管18、Siソースガス配管21、パージガス配管24には、それぞれ開閉弁18a、21a、24aおよびマスフローコントローラのような流量制御器18b、21b、24bが設けられており、酸素含有ガス、Siソースガスおよびパージガスをそれぞれ流量制御しつつ供給することができるようになっている。
上記処理容器1の側壁の一部には、酸素含有ガスのプラズマを形成するプラズマ生成機構30が形成されている。このプラズマ生成機構30は、上記処理容器1の側壁を上下方向に沿って所定の幅で削りとることによって上下に細長く形成された開口31をその外側より覆うようにして処理容器1の外壁に気密に溶接されたプラズマ区画壁32を有している。プラズマ区画壁32は、断面凹部状をなし上下に細長く形成され、例えば石英で形成されている。また、プラズマ生成機構30は、このプラズマ区画壁32の両側壁の外面に上下方向に沿って互いに対向するようにして配置された細長い一対のプラズマ電極33と、このプラズマ電極33に給電ライン34を介して接続され高周波電力を供給する高周波電源35とを有している。そして、上記プラズマ電極33に高周波電源35から例えば13.56MHzの高周波電圧を印加することにより酸素含有ガスのプラズマが発生し得る。なお、この高周波電圧の周波数は13.56MHzに限定されず、他の周波数、例えば400kHz等を用いてもよい。
上記のようなプラズマ区画壁32を形成することにより、処理容器1の側壁の一部が凹部状に外側へ窪ませた状態となり、プラズマ区画壁32の内部空間が処理容器1の内部空間に一体的に連通された状態となる。また、開口31は、ウエハボート5に保持されている全てのウエハWを高さ方向においてカバーできるように上下方向に十分に長く形成されている。
上記酸素含有ガス分散ノズル19は、処理容器1内を上方向に延びていく途中で処理容器1の半径方向外方へ屈曲されて、上記プラズマ区画壁32内の最も奥の部分(処理容器1の中心から最も離れた部分)に沿って上方に向けて起立されている。このため、高周波電源35がオンされて両電極33間に高周波電界が形成された際に、酸素含有ガス分散ノズル19のガス噴射孔19aから噴射された酸素ガスがプラズマ化されて処理容器1の中心に向けて拡散しつつ流れる。
上記プラズマ区画壁32の外側には、これを覆うようにして例えば石英よりなる絶縁保護カバー36が取り付けられている。また、この絶縁保護カバー36の内側部分には、図示しない冷媒通路が設けられており、例えば冷却された窒素ガスを流すことにより上記プラズマ電極33を冷却し得るようになっている。
上記2本のSiソースガス分散ノズル22は、処理容器1の内側壁の上記開口31を挟む位置に起立して設けられており、このSiソースガス分散ノズル22に形成された複数のガス噴射孔22aより処理容器1の中心方向に向けてSiソースガスとして1分子内に1個または2個のアミノ基を有するアミノシランガスを吐出し得るようになっている。
一方、処理容器1の開口31の反対側の部分には、処理容器1内を真空排気するための排気口37が設けられている。この排気口37は処理容器1の側壁を上下方向へ削りとることによって細長く形成されている。処理容器1のこの排気口37に対応する部分には、排気口37を覆うように断面凹部状に成形された排気口カバー部材38が溶接により取り付けられている。この排気口カバー部材38は、処理容器1の側壁に沿って上方に延びており、処理容器1の上方にガス出口39を規定している。そして、このガス出口39から図示しない真空ポンプ等を含む真空排気機構により真空引きされる。そして、この処理容器1の外周を囲むようにしてこの処理容器1およびその内部のウエハWを加熱する筒体状の加熱装置40が設けられている。
成膜装置80の各構成部の制御、例えばバルブ18a、21a、24aの開閉による各ガスの供給・停止、マスフローコントローラ18b、21b、24bによるガス流量の制御、および高周波電源35のオン・オフ制御、加熱装置40の制御等は例えばマイクロプロセッサ(コンピュータ)からなるコントローラ50により行われる。コントローラ50には、工程管理者が成膜装置80を管理するためにコマンドの入力操作等を行うキーボードや、成膜装置80の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース51が接続されている。
また、コントローラ50には、成膜装置80で実行される各種処理をコントローラ50の制御にて実現するための制御プログラムや、処理条件に応じて成膜装置80の各構成部に処理を実行させるためのプログラムすなわちレシピが格納された記憶部52が接続されている。レシピは記憶部52の中の記憶媒体に記憶されている。記憶媒体は、ハードディスクや半導体メモリであってもよいし、CD-ROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。
そして、必要に応じて、ユーザーインターフェース51からの指示等にて任意のレシピを記憶部52から呼び出してコントローラ50に実行させることで、コントローラ50の制御下で、成膜装置80での所望の処理が行われる。
次に、以上のように構成された成膜装置を用いて行なわれるシリコン酸化膜105の成膜方法の一例を、図9を参照して説明する。
例えば50〜100枚の半導体ウエハWが搭載された状態のウエハボート5を予め所定の温度に制御された処理容器1内にその下方から上昇させることによりロードし、蓋部9でマニホールド3の下端開口部を閉じることにより処理容器1内を密閉空間とする。ウエハエハWとしては、直径300mmのものが例示される。
そして、処理容器1内を真空ウエハ所定のプロセス圧力に維持するととともに、加熱装置40への供給電力を制御して、ウエハ温度を上昇させてプロセス温度に維持し、ウエハボート5を回転させた状態で成膜処理を開始する。
この際の成膜処理の一例を、図9に示す。本一例は、有機シリコンを含むSiソースガスとして、アミノシランガス、例えば、BTBASを用いた場合であるが、他のアミノシランガスを用いた場合、及びエトキシシランガスを用いた場合においても同様に実施することができる。
図9に示すように、成膜処理の一例においては、有機シリコンを含むSiソースガスを処理容器1内に流してSiソースを吸着させる工程S1と、活性化された酸素種、本例では酸素含有ガスをプラズマ化し、活性化された酸素種としてプラズマにより励起された酸素ラジカルを処理容器1に供給してSiソースガスを酸化させる工程S2とを交互に繰り返す。さらに、本一例おいては、これら工程S1と工程S2との間で処理容器1内から処理容器1内に残留するガスを除去する工程S3を実施する。
具体的には、本一例においては、工程S1において、Siソースガス供給機構15のSiソースガス供給源20からSiソースガスとして1分子内に2個のアミノ基を有するアミノシランガス、例えばBTBASをSiソースガス配管21およびSiソースガス分散ノズル22を介してガス吐出孔22aから処理容器1内にT1の期間供給する。これにより、半導体ウエハウエハソースを吸着させる。このときの期間T1は1〜60secが例示される。また、Siソースガスの流量は10〜500mL/min(sccm)が例示される。また、この際の処理容器1内の圧力は13.3〜665Paが例示される。
工程S2の活性化された酸素種を供給する工程においては、酸素含有ガス供給機構14の酸素含有ガス供給源17から酸素含有ガスとして例えばOガスを酸素含有ガス配管18および酸素含有ガス分散ノズル19を介してガス吐出孔19aから吐出し、このとき、プラズマ生成機構30の高周波電源35をオンにして高周波電界を形成し、この高周波電界により酸素含有ガス、例えばOガスをプラズマ化する。そして、プラズマにより励起された酸素ラジカルを含んでいる酸素含有ガスを処理容器1内に供給する。これにより、半導体ウエハWに吸着されたSiソースが酸化されてSiOが形成される。この処理の期間T2は5〜300secの範囲が例示される。また、酸素含有ガスの流量は半導体ウエハWの搭載枚数によっても異なるが、100〜20000mL/min(sccm)が例示される。また、高周波電源35の周波数は13.56MHzが例示され、パワーとしては5〜1000Wが採用される。また、この際の処理容器1内の圧力は13.3〜665Paが例示される。
この場合に、酸素含有ガスとしては、Oガスの他、NOガス、NOガス、HOガス、Oガスを挙げることができる。これらの酸素含有ガスは、高周波電界を印加してプラズマ化し、プラズマにより励起された酸素ラジカルを含む酸化剤として用いられる。このように酸素含有ガスをプラズマ化し、活性化された酸素種としてプラズマにより励起された酸素ラジカルを含む酸化剤を用いることにより、SiO膜の成膜が300℃以下、さらには100℃以下、理想的には室温でも成膜が可能となる。酸化剤としては活性化された酸素種を含むものであれば、酸素含有ガスをプラズマ化したものに限らない。しかし、活性化された酸素種を含む酸化剤は、酸素含有ガスをプラズマ化して得ることが好ましい。その中でもOガスをプラズマ化して得ることが好ましい。
また、工程S1と工程S2との間に行われる工程S3は、工程S1の後または工程S2の後に処理容器1内に残留するガスを除去して次の工程において所望の反応を生じさせる工程であり、処理容器1内を真空排気しつつパージガス供給機構16のパージガス供給源23からパージガス配管24およびパージガスノズル25を介してパージガスとして不活性ガス例えばNガスを供給することにより行われる。この工程S3の期間T3としては1〜60secが例示される。また、パージガス流量としては50〜5000mL/min(sccm)が例示される。なお、この工程S3は処理容器1内に残留しているガスを除去することができれば、パージガスを供給せずに全てのガスの供給を停止した状態で真空引きを継続して行うようにしてもよい。ただし、パージガスを供給することにより、短時間で処理容器1内の残留ガスを除去することができる。なお、この際の処理容器1内の圧力は13.3〜665Paが例示される。
このようにして、工程S1と工程S2との間に処理容器1内からガスを除去する工程S3を挟んで交互に間欠的にSiソースガスと活性化された酸素種を含む酸化剤、本例ではプラズマにより励起された酸素ラジカルを含む酸化剤とを繰り返し供給することにより、SiO膜の薄い膜を一層ずつ繰り返し積層して所定の厚さとすることができる。
このときの反応例を図10に示す。図10には反応例が模式的に示される。本反応例では、一例としてSiソースガスにBTBASを用いたときを例示する。
図10(a)に示すように、既に堆積されたSiO膜の表面にはOH基が存在しており、そこにSiソースとして例えばBTBASが供給される。そして、Siソースが吸着される工程(工程S1)においては、図10(b)に示すように、BTBASのSiが表面のOH基のOと反応してトリメチルアミノ基を離脱させる。このとき、アミノ基を2個有するアミノシランであるBTBASはOHとの反応性が高く、また、構造的にこのようなSiの反応の障害になり難いため、Siの吸着反応が速やかに進行する。このとき脱離したトリメチルアミノ基は工程S3により処理容器1から除去される。そして、次の酸化工程(工程S2)においては、図10(c)に示すように、トリメチルアミノ基が離脱した後のSi化合物がOガスプラズマのような活性化された酸素種を含む酸化剤によって酸化されてSiOとなる(ただし、表面にはHが吸着してOH基が形成されている)。このように、Oガスプラズマのような活性化された酸素種を含む酸化剤を用いた酸化反応は、純粋な化学的反応と異なり高い温度が不要であるから低温での反応が可能である。
BTBASは、Siソースガスとして用いる1分子内に2個のアミノ基を有するアミノシランガスである。このようなアミノシランガスとしては、上記BTBASの他、BDEAS(ビスジエチルアミノシラン)、BDMAS(ビスジメチルアミノシラン)を挙げることができる。
なお、Siソースガスには、1分子内に3個以上のアミノ基を有するアミノシランガス、を用いることも可能であるし、1分子内に1個のアミノ基を有するアミノシランガスを用いることも可能である。
第1の実施形態は、Siソースとして有機シリコンを含むソースガスを用い、酸化処理において反応が温度を上昇させずに進行するOガスプラズマのような活性化された酸素種を含む酸化剤を用い、これらを交互に供給するので、良好な膜質のSiO膜を100℃以下、さらには室温といった従来では考えられない低温でかつ高い成膜レートで成膜することができる。
このように、本実施形態では、原理的に100℃以下という極低温で成膜することができるが、それよりも高い温度であっても成膜が可能である。ただし、成膜温度が上昇するに従って膜厚ばらつきが大きくなることと、レジストパターン103´に与える熱的な影響とを考慮すると、成膜温度は100℃以下であることが最も好ましい。
次に、第1の実施形態に基づいて実際に成膜した結果について説明する。
まず、酸化剤について実験を行った。SiソースガスとしてBTBASを用い、酸化処理にOガスプラズマを用い、これらを交互に供給することでSiO膜を成膜した。ここでは、処理容器内に300mmウエハを100枚挿入し、成膜温度を100℃とし、BTBASの供給量を30mL/min(sccm)、圧力を53Paにして工程S1を30sec行い、Oガスの供給量を2000mL/min(sccm)、圧力を665Pa、13.56MHzの高周波パワーを50Wにして工程S2を40sec行い、これを42サイクル繰り返してSiO膜を成膜した。なお、処理容器内のパージのため、工程S1前には処理容器内の真空引きを継続しつつ3500mL/min(sccm)の流量でパージガスとしてNガスを7secの間供給し、工程S2の前には処理容器内の真空引きを継続しつつ3500mL/min(sccm)の流量でパージガスとしてNガスを6secの間供給した。
比較のため、工程S2の酸化処理の際の酸化剤としてOガスをプラズマ化せずに250g/Nmの流量で供給した以外は上記条件と同じにしてSiO膜を成膜した。
その結果、本発明に基づいて酸化剤として活性化された酸素種を含むOガスプラズマを用いた場合には、活性化された酸素種を含まないOガスを用いた場合よりも5倍程度の成膜レート(速度)が得られることが確認された。また、Oガスプラズマを用いた場合には、膜厚の面内ばらつきも極めて小さいことも確認された。
次に、成膜温度について実験を行った。
ここでは、SiソースガスとしてBTBASを用い、酸化処理にOガスプラズマを用いて、成膜温度以外は上記実験と同様にして成膜を行った。温度は、室温(25℃)、75℃、100℃、200℃、300℃と変化させて実験を行った。
その結果、100℃以下という低温においても高い成膜レートで成膜することができ、室温でも十分に実用的な成膜が可能であることが確認された。また、100℃以下、特に、75℃〜25℃(室温)という低温域においては、高い成膜レートで、均一性の高い膜圧を得られることが確認された。また、成膜温度が300℃を超えると膜厚ばらつきが大きくなり、成膜温度は300℃以下が好ましいことが確認された。特に、レジストパターンの上に成膜する場合には100℃以下が好ましいのは前述した通りである。
次に、シリコン酸化膜105形成時の不純物濃度を測定した。
ここでは、SiソースガスとしてBTBASを用い、酸化処理にOガスプラズマを用いて成膜した場合と、Oガスを用いて成膜した場合とで、不純物として炭素C、及び窒素Nの濃度を比較してみた。測定には、二次イオン質量分析(SIMS)を用いた。
その結果を図11に示す。この図に示すように、Oガスプラズマを用いて成膜した場合の炭素Cの濃度、及び窒素Nの濃度を、それぞれ“1”として規格化したとき、Oガスを用いて成膜した場合には、炭素Cの濃度は20倍、窒素Nの濃度は8倍という測定結果が得られた。この結果から、シリコン酸化膜105形成時に、Oガスプラズマを用いて成膜することが、不純物の低減に有効であることが確認された。フォトレジストは一般的にアンモニアに弱く、アンモニアの発生がフォトレジストポイズニングの一因となる。アンモニアの発生を抑制するためには、窒素Nの発生を抑制することが有効である。Oガスプラズマを用いて酸化処理すると、窒素Nの発生量を少なく抑えることができるため、フォトレジストポイズニングを抑制することが可能となる。これは、レジストパターン103´を被覆するシリコン酸化膜105の形成に有利である。
図3に示したシリコン酸化膜105は、上記成膜装置80と、上述した成膜方法とにより、フォトレジスト膜103(レジストパターン103´)の耐熱温度以下の極めて低い温度で、かつ、膜厚の制御性も良く形成することができる。よって、レジストパターン103´を、側壁スペーサ105´を形成するための基体(犠牲膜)として利用することが可能となる。レジストパターン103´を犠牲膜として利用することで、例えば、特許文献1に記載された微細パターンの形成方法に比較して、例えば、犠牲膜のパターニング工程(フォトリソグラフィ工程)を省略することができる。よって、第1の実施形態によれば、解像限界以下の幅を持つ微細パターンを、少ない製造工程で形成できる、という利点を得ることができる。
このようにして形成された薄膜102の微細パターンは、例えば、トランジスタのゲート電極、又はチャネル領域に利用することができる。また、トランジスタのような能動素子に限らず、半導体メモリのビット線のように、高密度に配置される配線や、各種の集積回路パターンに利用することができる。また、薄膜102の微細パターンは、半導体素子を分離する素子分離領域や、あるいは半導体素子を形成する素子領域にも利用することができる。
(第2の実施形態)
図12乃至図18は、この発明の第2の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図である。
第2の実施形態は、この発明に係る微細パターンの形成方法を、実際の半導体プロセスに、より好適に適用できる一例を示すものである。
まず、図12に示すように、半導体基板101上に、エッチングストッパ膜106を形成する。エッチングストッパ膜106は、次に形成される薄膜をエッチングしたとき、そのエッチングを停止させる膜である。本例では、一例としてエッチングストッパ膜106をシリコン窒化膜とする。次いで、エッチングストッパ膜106上に、このエッチングストッパ膜106とは異なる膜からなる薄膜102を形成する。本例では、一例として、薄膜102を、第1の実施形態と同様に導電性ポリシリコン膜とする。次いで、薄膜102上に、この薄膜102とは異なる膜からなるハードマスク膜107を形成する。ハードマスク膜107は、薄膜102をエッチングするときのエッチングのマスクとなる膜である。本例では、一例としてハードマスク膜107をシリコン窒化膜とする。次いで、ハードマスク膜107上にフォトレジストを塗布し、フォトレジスト膜103を形成する。
次に、図13に示すように、フォトリソグラフィ技術を用いて、フォトレジスト膜103を、所定の間隔を持つレジストパターン103´に加工する。本例においても、レジストパターン103´は、第1の実施形態と同様に、ライン・アンド・スペースパターンであり、所定の間隔は露光装置の解像限界とする。本例においても、レジストパターン103´の、平面方向に沿った幅Wrは解像限界である。
次に、図14に示すように、レジストパターン103´、及びハードマスク膜107上に、レジストパターン103´及び薄膜102とは異なったシリコン酸化膜105を形成する。本例のシリコン酸化膜105も、第1の実施形態と同様に、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給することで形成する。これにより、シリコン酸化膜105を、例えば、フォトレジスト膜103(レジストパターン103´)の耐熱温度以下の温度で形成できる。
次に、図15に示すように、図14に示した構造体をエッチバックし、シリコン酸化膜105を、その表面から後退させ、レジストパターン103´の側壁上に側壁スペーサ105´を形成する。エッチバックの一例は、異方性エッチングであり、例えば、RIE法などを利用すれば良い。側壁スペーサ105´の、平面方向沿った幅WSiOは、レジストパターン103´の幅Wrよりも狭くできるので、幅WSiOは、解像限界以下にできる。
次に、図16に示すように、側壁スペーサ105´及びハードマスク膜107をマスクに用いて、レジストパターン103´を除去する。レジストパターン103´の除去の一例は、アッシングである。
次に、図17に示すように、側壁スペーサ105´をエッチングのマスクに用いて、ハードマスク膜107をエッチングし、ハードマスク膜107を、所定の間隔を持つパターンに加工する。加工されたハードマスク膜107の、平面方向沿った幅Whは側壁スペーサ105´の幅Wssと等しい、もしくはほぼ等しくなるので、幅Whは、解像限界以下にできる。
次に、図18に示すように、例えば、側壁スペーサ105´を除去した後、加工されたハードマスク膜107をマスクに用いて、薄膜102を、所定の間隔を持つパターンに加工する。加工された薄膜102の、平面方向沿った幅Wtはハードマスク膜107の幅Whと等しい、もしくはほぼ等しくなる。よって、幅Wtは、解像限界以下にできる。
このようにして、第2の実施形態によれば、解像限界以下の幅Wtを有する薄膜102の微細パターンを形成することができる。
第2の実施形態においても、第1の実施形態と同様に、シリコン酸化膜105を、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給して形成するので、レジスパターン103´を、側壁スペーサ105´を形成するための基体(犠牲膜)として利用することができる。レジストパターン103´を犠牲膜として利用することで、例えば、犠牲膜のパターニング工程(フォトリソグラフィ工程)を省略でき、解像限界以下の幅を持つ微細パターンを、少ない製造工程で形成できる、という利点を得ることができる。
さらに、第2の実施形態においては、薄膜102の下にエッチングストッパ膜106を形成し、さらに、薄膜102の上にハードマスク膜107を形成する。このため、例えば、側壁スペーサ105´をエッチングのマスクに用いて薄膜102をエッチングし、薄膜102を加工する場合に比較して、膜厚が厚い薄膜102を加工できる、という利点を得ることができる。また、薄膜102のエッチングはエッチングストッパ膜106で停止するので、膜厚が厚い薄膜102をエッチングしたとしても、薄膜102の下に過剰にエッチングが進まない、という利点を得ることができる。このような利点から、第2の実施形態によれば、例えば、アスペクト比(高さ/幅)が高い薄膜102のパターンを形成できる。アスペクト比が高い薄膜102のパターンは、例えば、平面からみた面積は小さいが断面積は大きい。このため、抵抗値を小さくすることができ、配線パターン、例えば、半導体メモリのビット線パターンのような配線パターンの適用に有利である。
また、第1の薄膜として熱酸化膜等のゲート絶縁膜を用い、第2の薄膜としてゲート電極となるポリシリコン等を用いることで、微細化されたゲート構造を形成することが可能となる。
(第3の実施形態)
図19乃至図24は、この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図である。
第3の実施形態は、レジストパターン103´を、トリミングする例である。レジストパターン103´をトリミングすることで、薄膜102からなる微細パターンを、さらに微細に形成することができる。
まず、図19に示すように、図1及び図2を参照して説明した方法に従って、薄膜102上に、レジストパターン103´を形成する。本例では、レジストパターン103´の、平面方向に沿った幅Wrが解像限界である。
次に、図20に示すように、レジストパターン103を´をトリミングし、トリミングされたレジストパターン103´Sを得る(本明細書ではトリミング処理と呼ぶ)。トリミング処理の条件の一例は、活性化された酸素種、又はオゾンガスを含む雰囲気中、温度は室温〜100℃である。活性化された酸素種の例としては、プラズマにより励起された酸素ラジカル、熱により励起された酸素ラジカル、及び光により励起された酸素ラジカル等を挙げることができる。また、光の例としては、レーザーや、波長350nm以下の紫外線を挙げることができる。レジストパターン103を´をトリミングすることで、トリミングされたレジストパターン103´Sの、平面方向に沿った幅Wr´は解像限界以下に狭まる。
次に、図21に示すように、トリミングされたレジストパターン103´S、及び薄膜102上に、第1の実施形態と同様に、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給することで、シリコン酸化膜105を形成する。これにより、シリコン酸化膜105を、例えば、フォトレジスト膜103(レジストパターン103´、トリミングされたレジストパターン103´S)の耐熱温度以下の温度で形成することができる。
次に、図22に示すように、図21に示した構造体をエッチバック、例えば、RIE法を用いてシリコン酸化膜105をエッチバックし、シリコン酸化膜105の表面を後退させ、トリミングされたレジストパターン103´Sの側壁上に、側壁スペーサ105´を形成する。側壁スペーサ105´の、平面方向沿った幅WSiOは、トリミングされたレジストパターン103´Sの幅Wr´よりも狭くなり、幅WSiOも解像限界以下にできる。
次に、図23に示すように、側壁スペーサ105´及び薄膜102をマスクに用いて、トリミングされたレジストパターン103´Sを除去する。除去の一例は、アッシングである。
次に、図24に示すように、側壁スペーサ105´をエッチングのマスクに用いて、薄膜102をエッチングし、薄膜102を、所定の間隔を持つパターンに加工する。加工された薄膜102の、平面方向沿った幅Wtは側壁スペーサ105´の幅WSiOと等しい、もしくはほぼ等しくなるので、幅Wtは、解像限界以下にできる。
さらに、第3の実施形態によれば、レジストパターン103´がトリミングされるので、側壁スペーサ105´間のスペース幅Stも解像限界以下にできる。
このようにして、第3の実施形態によれば、解像限界以下の幅Wtと、解像限界以下のスペースStとを有する薄膜102の微細パターンを形成することができる。
さらに、第3の実施形態によれば、特に、図24に示すように、薄膜102の幅Wtと、スペース幅Stとを1:1、あるいはほぼ1:1とすることもできる。
もちろん、第3の実施形態においても、第1の実施形態と同様に、シリコン酸化膜105を、有機シリコンを含むソースガスと活性化された酸素種とを交互に供給して形成するので、レジスパターン103´を、側壁スペーサ105´を形成するための基体(犠牲膜)として利用することができる。レジストパターン103´を犠牲膜として利用することで、例えば、犠牲膜のパターニング工程(フォトリソグラフィ工程)を省略でき、解像限界以下の幅を持つ微細パターンを、少ない製造工程で形成できる、という利点を得ることができる。
なお、第3の実施形態は、第2の実施形態と組み合わせることが可能である。この場合には、例えば、図13を参照して説明した工程と、図14を参照して説明した工程との間に、図20を参照して説明したトリミング処理を挿入すれば良い。得られる利点は、第2の実施形態から得られる利点に、第3の実施形態から得られる利点を併せたものになる。
なお、本発明は上記実施形態に限定されることなく、種々変形可能である。例えば、上記実施形態では本発明を複数の半導体ウエハを搭載して一括して成膜を行うバッチ式の成膜装置に適用した例を示したが、これに限らず、一枚のウエハ毎に成膜を行う枚葉式の成膜装置に適用することもできる。
さらに、上記実施形態は、2枚から15枚程度の少量一括処理を目的としたセミ・バッチ方式、又はミニ・バッチ方式の成膜装置に適用することができる。

また、エトキシシランガス、及びアミノシランガスとしては、上記実施形態に示したものに限らない。また、活性化された酸素種として種々の酸素含有ガスプラズマを例示したが、これに限らず、ラジカル化している酸素を含むものであれば適用可能である。
さらに、上記実施形態においては、Siソースガスと活性化された酸素種とを完全に交互に供給したが、Siソースガスを供給するときにも活性化された酸素種を供給するようにしてもよい。
また、上記実施形態においては、酸化シリコン膜を低温成膜する例について説明したが、酸化シリコン膜の他、窒化シリコン膜や、炭化シリコン膜なども成膜することができる。
さらに、上記実施形態においては、プラズマを形成する機構を処理容器に一体的に組み込んだ例について説明したが、これに限定されず、処理容器とは別体で設け処理容器の外で予めプラズマ化して処理容器に導入するリモートプラズマ装置を用いてもよい。
また、条規実施形態においては、薄膜102を導電性ポリシリコンとしたが、導電性アモルファスシリコンや、導電性シリコンを含む導電膜でも良く、さらにはシリコン酸化膜、即ち、SiO系の絶縁膜であっても良い。
また、例えば、図25Aに示すように、薄膜102を絶縁膜102aとし、図25Bに示すように、絶縁膜102a間を半導体、例えば、シリコン110aで埋め込み、絶縁膜102aの上面、及びシリコン110aの上面を平坦化する。このようにすると、シリコン110aを半導体素子が形成される素子領域とし、微細パターンとして形成された絶縁膜102aを素子分離領域とした半導体装置を構成することができる。
また、図25A及び図25Bに示した例とは反対に、図26Aに示すように、薄膜102を半導体、例えば、シリコン膜102bとし、図26Bに示すように、シリコン膜102b間を絶縁物110bで埋め込む。このようにすると、微細パターンとして形成されたシリコン膜102bを素子領域とし、絶縁物110bを素子分離領域とした半導体装置を構成することができる。
さらに、図27に示すように、微細パターンとして形成された薄膜102は、トランジスタのゲートや配線ばかりでなく、Fin型FETのチャネルとしても利用することができる。
さらに、上記実施形態では、レジスト膜103を単層の膜として図示していたが、レジスト膜103は、図28に示すように、最上層をレジスト膜とし、下層に、例えば、反射防止膜(BARC)を備えた多層膜とすることも可能である。
さらにまた、被処理体としては、半導体ウエハに限定されず、LCDガラス基板等の他の基板にも本発明を適用することができる。
この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第1の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 シリコン酸化膜105を形成するための成膜装置の一例を示す縦断面図。 シリコン酸化膜105を形成するための成膜装置の一例を示す横断面図。 シリコン酸化膜105を形成するための成膜方法におけるガスの供給のタイミングを示すタイミングチャート シリコン酸化膜105の成膜方法を実施する際の反応を説明するための模式図 ガスプラズマを用いて成膜した場合と、Oガスを用いて成膜した場合とで、不純物量を比較した図 この発明の第2の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第2の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第2の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第2の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第2の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第2の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第2の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の第3の実施形態に係る微細パターンの形成方法を主要な製造工程毎に示す断面図 この発明の応用例を示す断面図 この発明の応用例を示す断面図 この発明の応用例を示す断面図 この発明の変形例を示す断面図
符号の説明
101;半導体基板
102;薄膜
103;フォトレジスト膜
103´;レジストパターン
105;シリコン酸化膜
105´;側壁スペーサ
106;エッチングストッパ膜
107;ハードマスク膜

Claims (14)

  1. 基板上に、薄膜を形成する工程と、
    前記薄膜上に、レジスト膜を最上層に設けた多層膜を形成する工程と、
    前記多層膜を、フォトリソグラフィ技術を用いて、所定の間隔を持つパターンに加工する工程と、
    有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記加工された多層膜、及び前記薄膜上に、前記薄膜及び前記多層膜とは異なったシリコン酸化膜を形成する工程と、
    前記シリコン酸化膜を後退させ、前記加工された多層膜の側壁上に側壁スペーサを形成する工程と、
    前記加工された多層膜を除去する工程と、
    前記側壁スペーサをマスクに用いて、前記薄膜を加工する工程と、
    を具備することを特徴とする微細パターンの形成方法。
  2. 基板上に、第1の薄膜を形成する工程と、
    前記第1の薄膜上に、この第1の薄膜とは異なる膜からなる第2の薄膜を形成する工程と、
    前記第2の薄膜上に、この第2の薄膜とは異なる膜からなるハードマスク膜を形成する工程と、
    前記ハードマスク膜上に、レジスト膜を最上層に設けた多層膜を形成する工程と、
    前記多層膜を、フォトリソグラフィ技術を用いて、所定の間隔を持つパターンに加工する工程と、
    有機シリコンを含むソースガスと活性化された酸素種とを交互に供給し、前記加工された多層膜、及び前記ハードマスク膜上に、前記ハードマスク膜及び前記多層膜とは異なるシリコン酸化膜を形成する工程と、
    前記シリコン酸化膜を後退させ、前記加工された多層膜の側壁上に側壁スペーサを形成する工程と、
    前記加工された多層膜を除去する工程と、
    前記側壁スペーサをマスクに用いて、前記ハードマスク膜を加工する工程と、
    前記ハードマスク膜をマスクに用いて、前記第2の薄膜を加工する工程と、
    を具備することを特徴とする微細パターンの形成方法。
  3. 前記第1の薄膜は、エッチングストッパ膜であることを特徴とする請求項2に記載の微細パターンの形成方法。
  4. 前記多層膜を、フォトリソグラフィ技術を用いて、所定の間隔を持つパターンに加工した後、前記加工された多層膜をトリミングし、前記加工された多層膜を微細化する工程を、さらに具備することを特徴とする請求項1乃至請求項3いずれか一項に記載の微細パターンの形成方法。
  5. 前記ソースガスとして、アミノシラン系プリカーサーを用いることを特徴とする請求項1乃至請求項4いずれか一項に記載の微細パターンの形成方法。
  6. 前記アミノシラン系プリカーサーは、1価または2価のアミノシラン系プリカーサーであることを特徴とする請求項5に記載の微細パターンの形成方法。
  7. 前記1価または2価のアミノシラン系プリカーサーは、
    BTBAS(ビスターシャリブチルアミノシラン)、
    BDMAS(ビスジメチルアミノシラン)、
    BDEAS(ビスジエチルアミノシラン)、
    DMAS(ジメチルアミノシラン)、
    DEAS(ジエチルアミノシラン)、
    DPAS(ジプロピルアミノシラン)、
    BAS(ブチルアミノシラン)、
    DIPAS(ジイソプロピルアミノシラン)、
    BEMAS(ビスエチルメチルアミノシラン)、
    から選択された少なくとも1種を用いることを特徴とする請求項6に記載の微細パターンの形成方法。
  8. 前記活性化された酸素種として、プラズマにより励起された酸素ラジカルを用いることを特徴とする請求項1乃至請求項7いずれか一項に記載の微細パターンの形成方法。
  9. 前記プラズマにより励起された酸素ラジカルは、Oガス、NOガス、NOガス、HOガス、Oガスのいずれかから選択される少なくとも1種をプラズマ化して得ることを特徴とする請求項8に記載の微細パターンの形成方法。
  10. 前記シリコン酸化膜は真空保持可能な処理容器内で形成され、前記ソースガスを前記処理容器内へ供給する工程と、前記活性化された酸素種を前記処理容器内へ供給する工程とを交互に実施して形成することを特徴とする請求項1乃至請求項9いずれか一項に記載の微細パターンの形成方法。
  11. 前記ソースガスを前記処理容器内へ供給する工程と、前記活性化された酸素種を前記処理容器内へ供給する工程との間に、前記処理容器内に残留しているガスを除去する工程を挿入することを特徴とする請求項10に記載の微細パターンの形成方法。
  12. 前記処理容器内に残留しているガスを除去する工程は、前記処理容器内を真空引きしながら前記処理容器内にパージガスを導入することを特徴とする請求項11に記載の微細パターンの形成方法。
  13. 前記シリコン酸化膜を形成する際の成膜温度が前記多層膜の耐熱温度以下であることを特徴とする請求項1乃至請求項12いずれか一項に記載の微細パターンの形成方法。
  14. 前記成膜温度は100℃以下であることを特徴とする請求項13に記載の微細パターンの形成方法。
JP2008149271A 2007-06-08 2008-06-06 微細パターンの形成方法 Active JP4589984B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008149271A JP4589984B2 (ja) 2007-06-08 2008-06-06 微細パターンの形成方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007153185 2007-06-08
JP2008149271A JP4589984B2 (ja) 2007-06-08 2008-06-06 微細パターンの形成方法

Publications (2)

Publication Number Publication Date
JP2009016814A JP2009016814A (ja) 2009-01-22
JP4589984B2 true JP4589984B2 (ja) 2010-12-01

Family

ID=40093793

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008149271A Active JP4589984B2 (ja) 2007-06-08 2008-06-06 微細パターンの形成方法

Country Status (5)

Country Link
US (1) US8168375B2 (ja)
JP (1) JP4589984B2 (ja)
KR (1) KR101011490B1 (ja)
TW (1) TW200913010A (ja)
WO (1) WO2008149989A1 (ja)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101101785B1 (ko) * 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
CN101680089A (zh) * 2007-06-15 2010-03-24 应用材料股份有限公司 用于图案加载应用的低温sacvd工艺
WO2008157068A2 (en) * 2007-06-15 2008-12-24 Applied Materials, Inc. Oxygen sacvd to form sacrificial oxide liners in substrate gaps
JP5275085B2 (ja) * 2009-02-27 2013-08-28 株式会社東芝 半導体装置の製造方法
JP5336283B2 (ja) * 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
JP4982457B2 (ja) * 2008-09-11 2012-07-25 信越化学工業株式会社 パターン形成方法
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP4985987B2 (ja) * 2008-10-15 2012-07-25 信越化学工業株式会社 パターン形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
JP5044579B2 (ja) * 2009-01-27 2012-10-10 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
US8133664B2 (en) * 2009-03-03 2012-03-13 Micron Technology, Inc. Methods of forming patterns
JP5238556B2 (ja) * 2009-03-10 2013-07-17 東京エレクトロン株式会社 基板処理方法
JP5275093B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
JP5275094B2 (ja) * 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5425514B2 (ja) * 2009-04-16 2014-02-26 AzエレクトロニックマテリアルズIp株式会社 微細パターン形成方法
JP2011040561A (ja) * 2009-08-11 2011-02-24 Tokyo Electron Ltd 半導体装置の製造方法。
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20120059557A (ko) * 2009-09-17 2012-06-08 도쿄엘렉트론가부시키가이샤 성막 방법, 반도체 소자의 제조 방법, 절연막 및 반도체 소자
JP2011091362A (ja) * 2009-09-28 2011-05-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
KR101096907B1 (ko) * 2009-10-05 2011-12-22 주식회사 하이닉스반도체 반도체 소자 및 그 형성방법
US8252691B2 (en) 2010-04-14 2012-08-28 Asm Genitech Korea Ltd. Method of forming semiconductor patterns
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8343881B2 (en) * 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
JP5632240B2 (ja) * 2010-08-31 2014-11-26 東京エレクトロン株式会社 微細パターンの形成方法
JP2012174976A (ja) * 2011-02-23 2012-09-10 Tokyo Electron Ltd パターンの形成方法
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5962022B2 (ja) * 2012-01-18 2016-08-03 住友電気工業株式会社 半導体光素子の製造方法
JP6127535B2 (ja) * 2012-02-03 2017-05-17 大日本印刷株式会社 ナノインプリント用テンプレートの製造方法
JP5250141B2 (ja) * 2012-07-13 2013-07-31 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6171453B2 (ja) * 2013-03-25 2017-08-02 大日本印刷株式会社 ナノインプリントモールドの製造方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150109088A (ko) * 2014-03-19 2015-10-01 에스케이하이닉스 주식회사 반도체 장치의 미세패턴 형성방법
US9583337B2 (en) 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6235974B2 (ja) 2014-09-24 2017-11-22 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102337410B1 (ko) 2015-04-06 2021-12-10 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI627704B (zh) * 2015-09-03 2018-06-21 東京威力科創股份有限公司 間隔件輪廓之修整方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10770291B2 (en) * 2015-12-21 2020-09-08 Intel Corporation Methods and masks for line end formation for back end of line (BEOL) interconnects and structures resulting therefrom
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
JP6804277B2 (ja) 2016-11-30 2020-12-23 東京エレクトロン株式会社 処理方法および処理装置
JP6804280B2 (ja) * 2016-12-07 2020-12-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10475736B2 (en) 2017-09-28 2019-11-12 Intel Corporation Via architecture for increased density interface
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10950442B2 (en) * 2018-07-06 2021-03-16 Tokyo Electron Limited Methods to reshape spacers for multi-patterning processes using thermal decomposition materials
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11092551B2 (en) * 2019-10-17 2021-08-17 International Business Machines Corporation Staircase surface-enhanced raman scattering substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57130431A (en) * 1981-02-06 1982-08-12 Fujitsu Ltd Manufacture of semiconductor device
JPH01124219A (ja) * 1987-10-30 1989-05-17 Internatl Business Mach Corp <Ibm> パターン付け方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4838991A (en) 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
JP2000173979A (ja) 1998-12-07 2000-06-23 Sanyo Electric Co Ltd エッチングマスク及び微細パターンの形成方法
US6596599B1 (en) * 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US7189652B1 (en) 2002-12-06 2007-03-13 Cypress Semiconductor Corporation Selective oxidation of gate stack
US7390750B1 (en) * 2004-03-23 2008-06-24 Cypress Semiconductor Corp. Method of patterning elements within a semiconductor topography
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US7605095B2 (en) * 2007-02-14 2009-10-20 Tokyo Electron Limited Heat processing method and apparatus for semiconductor process
TWI334163B (en) * 2007-03-30 2010-12-01 Nanya Technology Corp Method of pattern transfer
KR101101785B1 (ko) * 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US20090311634A1 (en) * 2008-06-11 2009-12-17 Tokyo Electron Limited Method of double patterning using sacrificial structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57130431A (en) * 1981-02-06 1982-08-12 Fujitsu Ltd Manufacture of semiconductor device
JPH01124219A (ja) * 1987-10-30 1989-05-17 Internatl Business Mach Corp <Ibm> パターン付け方法

Also Published As

Publication number Publication date
WO2008149989A1 (ja) 2008-12-11
KR20090051186A (ko) 2009-05-21
TWI370483B (ja) 2012-08-11
JP2009016814A (ja) 2009-01-22
US8168375B2 (en) 2012-05-01
TW200913010A (en) 2009-03-16
US20100112496A1 (en) 2010-05-06
KR101011490B1 (ko) 2011-01-31

Similar Documents

Publication Publication Date Title
JP4589984B2 (ja) 微細パターンの形成方法
JP4659856B2 (ja) 微細パターンの形成方法
KR101131709B1 (ko) 반도체 처리용 성막 방법, 반도체 처리용 성막 장치, 및컴퓨터로 판독 가능한 매체
JP5258229B2 (ja) 成膜方法および成膜装置
JP4638550B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US8202805B2 (en) Substrate processing method
JP5682290B2 (ja) 炭素含有薄膜のスリミング方法及び酸化装置
JP6124477B2 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
US9142403B2 (en) Method of forming boron-containing silicon oxycarbonitride film and method of forming silicon oxycarbonitride film
JP2009170788A (ja) アモルファスカーボン膜の処理方法およびそれを用いた半導体装置の製造方法
JP2011040561A (ja) 半導体装置の製造方法。
JP2011066164A (ja) マスクパターンの形成方法及び半導体装置の製造方法
JP5236716B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100817

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100910

R150 Certificate of patent or registration of utility model

Ref document number: 4589984

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130917

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250