JP5384852B2 - 半導体装置の製造方法及び半導体製造装置 - Google Patents

半導体装置の製造方法及び半導体製造装置 Download PDF

Info

Publication number
JP5384852B2
JP5384852B2 JP2008123738A JP2008123738A JP5384852B2 JP 5384852 B2 JP5384852 B2 JP 5384852B2 JP 2008123738 A JP2008123738 A JP 2008123738A JP 2008123738 A JP2008123738 A JP 2008123738A JP 5384852 B2 JP5384852 B2 JP 5384852B2
Authority
JP
Japan
Prior art keywords
photoresist pattern
photoresist
catalyst
raw material
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008123738A
Other languages
English (en)
Other versions
JP2009272558A (ja
Inventor
謙和 水野
健司 金山
和幸 奥田
義朗 ▲ひろせ▼
優幸 浅井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2008123738A priority Critical patent/JP5384852B2/ja
Priority to KR1020080082361A priority patent/KR101002259B1/ko
Priority to TW097132467A priority patent/TWI421907B/zh
Priority to US12/201,606 priority patent/US8093159B2/en
Priority to KR1020090019920A priority patent/KR101167506B1/ko
Priority to US12/406,728 priority patent/US20090277382A1/en
Publication of JP2009272558A publication Critical patent/JP2009272558A/ja
Priority to KR1020110009324A priority patent/KR101089337B1/ko
Priority to US13/311,634 priority patent/US8535479B2/en
Application granted granted Critical
Publication of JP5384852B2 publication Critical patent/JP5384852B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は、半導体装置の製造方法、半導体装置及び半導体製造装置に関し、例えば、ダブルパターニング法を使用した半導体装置(半導体デバイス)のパターン形成方法に関する。
フラッシュメモリ、DRAM(Dynamic Random Access Memory)、SRAM(Static Random Access Memory)等のメモリデバイスや、ロジックデバイス等の半導体デバイスは、近年、高集積化が求められているが、そのためにはパターンの微細化が必須である。狭い面積に多くのデバイスを集積させるためには、個別デバイスのサイズを小さく形成しなくてはならず、このためには、形成しようとするパターンの幅と間隔との和であるピッチを小さくしなければならない。しかし、必要なパターンを形成するためのホトリソグラフィ工程に解像限界があり、微細ピッチを有するパターンの形成に限界がある。
近年、基板の上に微細なパターンを形成し、これをマスクとしてエッチングを行うことによって該パターンの下層を加工する技術(パターン形成技術)は、半導体産業のIC作成等に広く採用され、大きな注目を浴びている。そこで、新しく提案されているリソグラフィ技術の1つとして、パターニングを2回以上行ってホトレジストパターンを形成するダブルパターニング法の検討が進められている。このダブルパターニング法によれば、1回のパターニングで形成されるパターンよりも微細なパターンが形成できるとされており、その中の一つとして露光を2回以上行う技術の検討が進められている。
ダブルパターニング法において、第1のホトレジストパターンを形成した上に第2のホトレジストパターンを形成するためには、第2のホトレジストパターン形成時に第1のホトレジストパターンにいかなるダメージをも与えないようにプロセスを構築することが必要となる。
具体的には、(1)第2ホトレジストパターン形成時のホトレジスト中に含まれる溶剤の第1ホトレジストパターンへの浸透に伴うレジスト特性の劣化、(2)第2ホトレジスト処理中に加わる熱処理による第1ホトレジストパターンの変形(一般的な樹脂系ホトレジスト材料では150℃より加熱すると変質してしまう)、(3)第2ホトレジストパターン形成時の現像処理における第1ホトレジストパターンのレジスト寸法ズレの発生(実質的に現像時間が第2ホトレジスト処理の分だけ長くなり所望のレジスト寸法からのズレが生じる)、(4)第2ホトレジスト処理のリワーク発生時に第1ホトレジストへのダメージの発生、などの課題を克服するプロセス技術の開発が必要となっている。
本発明の主な目的は、ダブルパターニング技術において、第2のホトレジスト形成プロセスが、第1のホトレジストに上記(1)〜(4)のような副作用を及ぼさない、パターニング精度の安定性を提供できる半導体装置の製造方法を提供することにある。
本発明の一態様によれば、
基板上の一部の領域に第1のホトレジストパターンを形成する第1の工程と、少なくとも前記第1のホトレジストパターンの表面に薄膜を形成する第2の工程と、前記第1のホトレジストパターンが形成されていない部位に第2のホトレジストパターンを形成する第3の工程とを有する半導体装置の製造方法が提供される。
好ましくは、第1の半導体装置の製造方法において、
基板を処理する処理室と、
Si原料、酸化原料、触媒を前記処理室に供給する原料供給ユニットと、
少なくとも前記原料供給ユニットを制御する制御部と、
を有し、
前記制御部は、
前記Si原料及び前記触媒と、前記酸化原料と前記触媒とを、交互に前記処理室に供給するように前記原料供給ユニットを制御する基板処理装置を用いて、
前記薄膜を形成する。
好ましくは、第1の半導体装置の製造方法において、
基板を処理する処理室と、
Si原料を前記処理室内に供給する第1の原料供給系と、
酸化原料を前記処理室内に供給する第2の原料供給系と、
触媒を前記処理室内に供給する触媒供給系と、
前記基板を加熱する加熱ユニットと、
少なくとも前記原料供給ユニットと及び前記加熱ユニットを制御する制御部と、
を有し、
前記制御部は、
前記第1のホトレジストの変質温度よりも低い処理温度となるよう前記基板を加熱しつつ、
前記Si原料及び前記触媒と、前記酸化原料と前記触媒とを、交互に前記処理室に供給し、前記交互の供給を複数回繰り返すように前記加熱ユニット及び前記原料供給ユニットを制御する基板処理装置を用いて、
前記薄膜を形成する。
本発明の他の態様によれば、
基板上の一部の領域に第1のホトレジストパターンを形成する第1の工程と、
少なくとも前記第1のホトレジストパターンの表面に薄膜を形成する第2の工程と、
前記第1のホトレジストパターンが形成されていない部位に第2のホトレジストパターンを形成する第3の工程と、
を有するホトレジストパターン形成方法が提供される。
好ましくは、上記のホトレジストパターン形成方法を用いて形成された第1のホトレジストパターン及び第2のホトレジストパターンをマスクとしてエッチングを行い、第1のホトレジストパターン及び第2のホトレジストパターンの下層を加工して基板に所望の処理を行なって製造した半導体装置が提供される。
本発明の他の態様によれば、
所定の処理を施した基板上の一部の領域にホトレジストパターンを形成するホトレジスト処理装置と、
少なくとも前記ホトレジストパターンの表面に薄膜を形成する基板処理装置と、
を有する半導体製造装置が提供される。
好ましくは、上記の半導体製造装置において、
基板処理装置は、
基板を処理する処理室と、
Si原料を前記処理室内に供給する第1の原料供給系と、
酸化原料を前記処理室内に供給する第2の原料供給系と、
触媒を前記処理室内に供給する触媒供給系と、
前記基板を加熱する加熱ユニットと、
少なくとも前記原料供給ユニットと及び前記加熱ユニットを制御する制御部と、
を有し、
前記制御部は、
前記第1のホトレジストの変質温度よりも低い処理温度となるよう前記基板を加熱しつつ、
前記Si原料及び前記触媒と、前記酸化原料と前記触媒とを、交互に前記処理室に供給し、前記交互の供給を複数回繰り返すように前記加熱ユニット及び前記原料供給ユニットを制御する基板処理装置である。
本発明の一態様に係る第1の半導体装置の製造方法によれば、第1ホトレジストパターン上に薄膜(例えば、SiO膜)を成膜することにより、第1ホトレジストパターンを保護することができ、第2ホトレジスト溶剤を塗布する際に、第2ホトレジスト溶剤が第1ホトレジストパターンへ浸透することを防止できる。さらに、第1のホトレジストパターンを形成する第1のホトレジストの変質温度よりも低い低温でホトレジストの保護を実施することにより、第1ホトレジストパターンの変質を防止しつつ、第1ホトレジスト保護のための薄膜を形成することができる。
また、本発明の一態様に係る第1の半導体装置の製造方法によれば、第1ホトレジストパターン上に薄膜を成膜することにより、第2ホトレジストパターン形成時において、第1ホトレジストパターンの機械的強度を向上させることができる。
さらに、本発明の一態様に係る第1の半導体装置の製造方法によれば、SiO膜はウエットエッチングレートが速いため、第1ホトレジストを保護する薄膜としてSiO膜を用いることにより、この薄膜を除去する必要がある場合に、容易に除去することが可能となる。
また、本発明の一態様に係る第1の半導体装置の製造方法によれば、第1ホトレジストパターン上に薄膜(例えば、SiO膜)を成膜することにより、第2ホトレジストパターンのリワーク時に第1ホトレジストパターンを保護することができる。
また、本発明の一態様に係る半導体製造装置によれば、第1ホトレジストパターン上に薄膜(例えば、SiO膜)を成膜することにより、第1ホトレジストパターンを保護することができ、第2ホトレジスト溶剤を塗布する際に、第2ホトレジスト溶剤が第1ホトレジストパターンへ浸透することを防止できる。さらに、第1のホトレジストパターンを形成する第1のホトレジストの変質温度よりも低い極低温で薄膜を成膜することにより、第1ホトレジストパターンの変質を防止しつつ、第1ホトレジストを保護するための薄膜を形成することができる。
また、本発明の一態様に係る半導体製造装置によれば、ホトレジストパターンを形成するホトレジスト処理装置及び薄膜を形成する基板処理装置を有することにより、ホトレジストパターンの形成及び薄膜形成を一貫処理することができる。
また、本発明の一態様に係る半導体製造装置によれば、第1ホトレジストパターン上に薄膜(例えば、SiO膜)を成膜することにより、第2ホトレジストパターンのリワーク時に第1のホトレジストパターンを保護することができる。
以下、図面を参照しながら本発明の好ましい実施例について説明する。
本実施例に係る基板処理装置は、半導体装置(IC(Integrated Circuits))の製造に使用される半導体製造装置の一例として構成されているものである。
下記の説明では、基板処理装置の一例として、基板に対し成膜処理等をおこなう縦型の装置を使用した場合について述べる。しかし、本発明は、縦型装置の使用を前提としたものでなく、例えば、枚葉装置を使用しても良い。また、成膜のメカニズムもSi原料、酸化原料、触媒を組み合わせたSiO膜に限定されるものではなく、例えば光エネルギーを用いた成膜技術等、低温成膜が可能な技術を適用することができる。
図1に示す通り、基板処理装置101では、基板の一例となるウエハ200を収納したカセット110が使用されており、ウエハ200はシリコン等の材料から構成されている。基板処理装置101は筐体111を備えており、筐体111の内部にはカセットステージ114が設置されている。カセット110はカセットステージ114上に工程内搬送装置(図示略)によって搬入されたり、カセットステージ114上から搬出されたりされる。
カセットステージ114は、工程内搬送装置によって、カセット110内のウエハ200が垂直姿勢を保持しかつカセット110のウエハ出し入れ口が上方向を向くように載置される。カセットステージ114は、カセット110を筐体111の後方に右回り縦方向90°回転し、カセット110内のウエハ200が水平姿勢となり、カセット110のウエハ出し入れ口が筐体111の後方を向くように動作可能となるよう構成されている。
筐体111内の前後方向の略中央部にはカセット棚105が設置されており、カセット棚105は複数段複数列にて複数個のカセット110を保管するように構成されている。カセット棚105にはウエハ移載機構125の搬送対象となるカセット110が収納される移載棚123が設けられている。
カセットステージ114の上方には予備カセット棚107が設けられ、予備的にカセット110を保管するように構成されている。
カセットステージ114とカセット棚105との間には、カセット搬送装置118が設置されている。カセット搬送装置118は、カセット110を保持したまま昇降可能なカセットエレベータ118aと、搬送機構としてのカセット搬送機構118bとで構成されている。カセット搬送装置118はカセットエレベータ118aとカセット搬送機構118bとの連続動作により、カセットステージ114とカセット棚105と予備カセット棚107との間で、カセット110を搬送するように構成されている。
カセット棚105の後方には、ウエハ移載機構125が設置されている。ウエハ移載機構125は、ウエハ200を水平方向に回転ないし直動可能なウエハ移載装置125aと、ウエハ移載装置125aを昇降させるためのウエハ移載装置エレベータ125bとで構成されている。ウエハ移載装置125aにはウエハ200をピックアップするためのツイーザ125cが設けられている。ウエハ移載装置125はウエハ移載装置125aとウエハ移載装置エレベータ125bとの連続動作により、ツイーザ125cをウエハ200の載置部として、ウエハ200をボート217に対して装填(チャージング)したり、ボート217から脱装(ディスチャージング)したりするように構成されている。
筐体111の後部上方には、ウエハ200を熱処理する処理炉202が設けられており、処理炉202の下端部が炉口シャッタ147により開閉されるように構成されている。
処理炉202の下方には処理炉202に対しボート217を昇降させるボートエレベータ115が設けられている。ボートエレベータ115の昇降台にはアーム128が連結されており、アーム128にはシールキャップ219が水平に据え付けられている。シールキャップ219はボート217を垂直に支持するとともに、処理炉202の下端部を閉塞可能なように構成されている。
ボート217は複数の保持部材を備えており、複数枚(例えば50〜150枚程度)のウエハ200をその中心を揃えて垂直方向に整列させた状態で、それぞれ水平に保持するように構成されている。
カセット棚105の上方には、清浄化した雰囲気であるクリーンエアを供給するクリーンユニット134aが設置されている。クリーンユニット134aは供給ファン及び防塵フィルタで構成されており、クリーンエアを筐体111の内部に流通させるように構成されている。
筐体111の左側端部には、クリーンエアを供給するクリーンユニット134bが設置されている。クリーンユニット134bも供給ファン及び防塵フィルタで構成されており、クリーンエアをウエハ移載装置125aやボート217等の近傍を流通させるように構成されている。当該クリーンエアは、ウエハ移載装置125aやボート217等の近傍を流通した後に、筐体111の外部に排気されるようになっている。
続いて、基板処理装置101の主な動作について説明する。
工程内搬送装置(図示略)によってカセット110がカセットステージ114上に搬入されると、カセット110は、ウエハ200がカセットステージ114の上で垂直姿勢を保持し、カセット110のウエハ出し入れ口が上方向を向くように載置される。その後、カセット110は、カセットステージ114によって、カセット110内のウエハ200が水平姿勢となり、カセット110のウエハ出し入れ口が筐体111の後方を向くように、筐体111の後方に右周り縦方向90°回転させられる。
その後、カセット110は、カセット棚105ないし予備カセット棚107の指定された棚位置へカセット搬送装置118によって自動的に搬送され受け渡され、一時的に保管された後、カセット棚105ないし予備カセット棚107からカセット搬送装置118によって移載棚123に移載されるか、もしくは直接移載棚123に搬送される。
カセット110が移載棚123に移載されると、ウエハ200はカセット110からウエハ移載装置125aのツイーザ125cによってウエハ出し入れ口を通じてピックアップされ、ボート217に装填(チャージング)される。ボート217にウエハ200を受け渡したウエハ移載装置125aはカセット110に戻り、後続のウエハ110をボート217に装填する。
予め指定された枚数のウエハ200がボート217に装填されると、処理炉202の下端部を閉じていた炉口シャッタ147が開き、処理炉202の下端部が開放される。その後、ウエハ200群を保持したボート217がボートエレベータ115の上昇動作により処理炉202内に搬入(ローディング)され、処理炉202の下部がシールキャップ219により閉塞される。
ローディング後は、処理炉202にてウエハ200に対し任意の処理が実施される。その処理後は、上述の逆の手順で、ウエハ200およびカセット110が筐体111の外部に搬出される。
図2及び図3に示す通り、処理炉202にはウエハ200を加熱するためのヒータ207が設けられている。ヒータ207は上方が閉塞された円筒形状の断熱部材と複数本のヒータ素線とを備えており、断熱部材に対しヒータ素線が設けられたユニット構成を有している。ヒータ207の内側には、ウエハ200を処理するための石英製の反応管203が設けられている。
反応管203の下端には、気密部材であるOリング220を介してステンレス等で構成されたマニホールド209が設けられている。マニホールド209の下端開口は、Oリング220を介して蓋体としてのシールキャップ219により気密に閉塞されている。処理炉202では、少なくとも、反応管203、マニホールド209及びシールキャップ219により処理室201が形成されている。
シールキャップ219にはボート217を支持するボート支持台218が設けられている。図1に示す通り、ボート217はボート支持台218に固定された底板210とその上方に配置された天板211とを有しており、底板210と天板211との間に複数本の支柱212が架設された構成を有している。ボート217には複数枚のウエハ200が保持されている。複数枚のウエハ200は、互いに一定の間隔をあけながら水平姿勢を保持した状態でボート217の支柱212に支持されている。
以上の処理炉202では、バッチ処理される複数枚のウエハ200がボート217に対し多段に積層された状態において、ボート217がボート支持体218で支持されながら処理室201に挿入され、ヒータ207が処理室201に挿入されたウエハ200を所定の温度に加熱するようになっている。
図2及び図3に示す通り、処理室201には、原料ガスを供給するための2本の原料ガス供給管310,320と、触媒を供給するための触媒供給管330とが接続されている。
原料ガス供給管310にはマスフローコントローラ312及びバルブ314が設けられている。原料ガス供給管310の先端部にはノズル410が連結されている。ノズル410は、処理室201を構成している反応管203の内壁とウエハ200との間における円弧状の空間で、反応管203の内壁に沿った上下方向に延在している。ノズル410の側面には原料ガスを供給する多数のガス供給孔410aが設けられている。ガス供給孔410aは、下部から上部にわたってそれぞれ同一または、大きさに傾斜をつけた開口面積を有し、更に同じ開口ピッチで設けられている。
更に原料ガス供給管310にはキャリアガスを供給するためのキャリアガス供給管510が接続されている。キャリアガス供給管510にはマスフローコントローラ512及びバルブ514が設けられている。
原料ガス供給管320にはマスフローコントローラ322及びバルブ324が設けられている。原料ガス供給管320の先端部にはノズル420が連結されている。ノズル420も、ノズル410と同様に、処理室201を構成している反応管203の内壁とウエハ200との間における円弧状の空間で、反応管203の内壁に沿って上下方向に延在している。ノズル420の側面には、原料ガスを供給する多数のガス供給孔420aが設けられている。ガス供給孔420aも、ガス供給孔410aと同様に、下部から上部にわたってそれぞれ同一または、大きさに傾斜をつけた開口面積を有し、更に同じ開口ピッチで設けられている。
更に原料ガス供給管320にはキャリアガスを供給するためのキャリアガス供給管520が連結されている。キャリアガス供給管520にはマスフローコントローラ522及びバルブ524が設けられている。
触媒供給管330にはマスフローコントローラ332及びバルブ334が設けられている。触媒供給管330の先端部にはノズル430が連結されている。ノズル430も、ノズル410と同様に、処理室201を構成している反応管203の内壁とウエハ200との間における円弧状の空間で、反応管203の内壁に沿って上下方向に延在している。ノズル430の側面には、触媒を供給する多数の触媒供給孔430aが設けられている。触媒供給孔430aも、ガス供給孔410aと同様に、下部から上部にわたってそれぞれ同一または、大きさに傾斜をつけた開口面積を有し、更に同じ開口ピッチで設けられている。
更に触媒供給管330にはキャリアガスを供給するためのキャリアガス供給管530が連結されている。キャリアガス供給管530にはマスフローコントローラ532及びバルブ534が設けられている。
上記構成に係る一例として、原料ガス供給管310には原料ガスの一例としてSi原料(TDMAS:トリスジメチルアミノシラン(TDMAS、SiH(N(CH))、DCS:ジクロロシラン(SiHCl)、HCD:ヘキサクロロジシラン(SiCl)やトリクロロシラン(SiCl)等)が導入される。原料ガス供給管320には酸化原料の一例としてHOやH等が導入される。触媒供給管330には触媒の一例としてピリジン(CN)やピリミジン、キノリン等が導入される。
処理室201にはバルブ243eを介して処理室201内を排気するための排気管231が接続されている。排気管231には真空ポンプ246が接続されており、真空ポンプ246の作動で処理室201内を真空排気することができるようになっている。バルブ243eは開閉動作により処理室201の真空排気の起動とその停止とをすることができるのに加えて、その弁開度が調節可能であって処理室201の内部の圧力調整をも可能とする開閉弁である。
反応管203内の中央部にはボート217が設けられている。ボート217は、ボートエレベータ115により反応管203に対し昇降(出入り)することができるようになっている。ボート217を支持するボート支持台218の下端部には、処理の均一性を向上するためにボート217を回転させるボート回転機構267が設けられている。ボート回転機構267を駆動させることにより、ボート支持台218に支持されたボート217を回転させることができるようになっている。
以上のマスフローコントローラ312,322,332,512,522,532、バルブ314,324,334,514,524,534、バルブ243e、ヒータ207、真空ポンプ246、ボート回転機構267、ボートエレベータ115等の各部材はコントローラ280に接続されている。コントローラ280は、基板処理装置101の全体の動作を制御する制御部の一例であって、マスフローコントローラ312,322,332,512,522,532の流量調整、バルブ314,324,334,514,524,534の開閉動作、バルブ243eの開閉及び圧力調整動作、ヒータ207の温度調整、真空ポンプ246の起動・停止、ボート回転機構267の回転速度調節、ボートエレベータ115の昇降動作等をそれぞれ制御するようになっている。
次に、半導体装置(半導体デバイス)の製造方法の一例として、大規模集積回路(Large Scale Integration;LSI)を製造する際に、本発明を適用する例について説明する。
LSIは、シリコンウエハ上に処理を施すウエハプロセスを行なった後、組立工程、試験工程、信頼性試験工程を経て製造される。ウエハプロセスは、シリコンウエハに酸化、拡散などの加工を施す基板工程と、その表面に配線を形成する配線工程とに区分され、リソグラフィ工程を中心に洗浄、熱処理、膜形成などが反復して行なわれる。リソグラフィ工程では、ホトレジストパターンを形成し、該パターンをマスクとしてエッチングを行なうことにより該パターンの下層を加工する。
ここで、図4を参照しながら、ウエハ200上にホトレジストパターンを形成するプロセスシーケンスの一例について説明する。
プロセスシーケンスでは、ウエハ200上に第1ホトレジストパターン603aを形成する第1ホトレジストパターン形成工程と、第1ホトレジストパターン603a上に第1ホトレジスト保護膜として薄膜を形成する第1ホトレジスト保護膜形成工程と、薄膜上に第2ホトレジストパターン603bを形成する第2ホトレジストパターン工程とを、この順に実施する。 以下、各工程について説明する。
<第1ホトレジストパターン形成工程>
第1ホトレジストパターン形成工程では、ウエハ200上に形成されたハードマスク601上に第1ホトレジストパターン603aを形成する。
最初に、ウエハ200上に形成されたハードマスク601上に、第1ホトレジスト溶剤602aを塗布する(図4a)。次に、ベーキング、ArFエキシマ光源(193nm)やKrFエキシマ光源(248nm)等の光源によるマスクパターン等を用いた選択的露光、現像等を行うことで、第1ホトレジストパターン603aを形成する(図4b)。
<第1ホトレジスト保護膜形成工程>
第1ホトレジスト保護膜形成工程では、第1ホトレジストパターン形成工程にて形成された第1ホトレジストパターン603a上及び第1ホトレジストパターン603aが形成されていない部分に、薄膜を保護材として形成する。これにより、第1ホトレジストパターン603aの形状変化や膜質変化を防止して後述の第2ホトレジスト溶剤602bから保護する。以下では、基板処理装置101を使用してALD法により、保護膜としてのSiO膜604を極低温にて成膜する例について説明する。
ALD(Atomic Layer Deposition)法とは、CVD(Chemical Vapor Deposition)法の一つであり、ある成膜条件(温度、時間等)の下で、成膜に用いる少なくとも2種類の原料となる原料ガスを1種類ずつ交互に基板上に供給し、1原子単位で基板上に吸着させ、表面反応を利用して成膜を行う手法である。このとき、膜厚の制御は、原料ガスを供給するサイクル数で行う(例えば、成膜速度が1Å/サイクルとすると、20Åの膜を形成する場合、20サイクル行う)。
本実施例では、Si原料ガスとしてHCDを、酸化原料としてHOを、触媒としてピリジンを、キャリアガスとしてNを、それぞれ用いた場合について図1、図2及び図5を使用して説明する。
成膜プロセスでは、コントローラ280が、基板処理装置101を下記の通りに制御する。すなわち、ヒータ207を制御して処理室201内をホトレジスト膜の変質温度より低い温度であって、例えば150℃以下、好ましくは100℃以下、より好ましくは75℃に保持する。その後、複数枚のウエハ200をボート217に装填し、ボート217を処理室201に搬入する。その後、ボート217をボート駆動機構267により回転させ、ウエハ200を回転させる。その後、真空ポンプ246を作動させるとともにバルブ243eを開いて処理室201内を真空引きし、ウエハ200の温度が75℃に達して温度等が安定したら、処理室201内の温度を75℃に保持した状態で後述する4つのステップを順次実行する。
(ステップ1)
原料ガス供給管310にHCDを、原料ガス供給管320にHOを、触媒供給管330に触媒を、キャリアガス供給管510,520,530にNを導入(流入)させた状態で、バルブ314,334,514,524,534を適宜開く。但し、バルブ324は閉じたままである。
その結果、図5のように、HCDが、Nと混合されながら原料ガス供給管310を流通してノズル410に流出し、ガス供給孔410aから処理室201に供給される。また、触媒も、Nと混合されながら触媒供給管330を流通してノズル430に流出し、触媒供給孔430aから処理室201に供給される。さらに、Nがキャリアガス供給管520を流通してノズル420に流出し、ガス供給孔420aから処理室201に供給される。処理室201に供給されたHCD,触媒はウエハ200の表面上を通過して排気管231から排気される。
ステップ1では、バルブ314,334を制御して、HCD,触媒を供給する時間を最適な時間(例えば10秒)とする。さらに、HCDと触媒の供給量の比が一定の割合(例えば1:1)となるようバルブ314、334を制御する。同時に、バルブ243eを適正に調整して処理室201内の圧力を一定範囲内の最適な値(例えば3Torr)とする。以上のステップ1では、HCD,触媒を処理室201内に供給することで、Siがウエハ200上に形成された第1ホトレジストパターン603a及びハードマスク601上に吸着する。
(ステップ2)
バルブ314,334を閉じてHCD,触媒の供給を停止させるとともに、図5のように、Nをキャリアガス供給管510,520,530から処理室201に供給し続け、処理室201内をNでパージする。パージ時間は例えば15秒とする。また15秒内にパージと真空引きの2工程があってもよい。その結果、処理室201内に残留したHCD,触媒が処理室201内から排除される。
(ステップ3)
バルブ514,524,534を開いたままで、バルブ324,334を適宜開く。バルブ314は閉じたままである。その結果、図5のように、HOが、Nと混合されながら原料ガス供給管320を流通してノズル420に流出し、ガス供給孔420aから処理室201に供給される。また、触媒も、Nと混合されながら触媒供給管330を流通してノズル430に流出し、触媒供給孔430aから処理室201に供給される。さらに、Nがキャリアガス供給管510を流通してノズル410に流出し、ガス供給孔410aから処理室201に供給される。処理室201に供給されたHO,触媒はウエハ200の表面上を通過して排気管231から排気される。
ステップ3では、バルブ324,334を制御して、HO,触媒を供給する時間を最適な時間(例えば20秒)とする。さらに、HOと触媒の供給量の比が一定の割合(例えば1:1)となるようバルブ314、334を制御する。同時に、バルブ243eを適正に調整して処理室201内の圧力を一定範囲内の最適な値(例えば7Torr)とする。以上のステップ3では、HO,触媒を処理室201内に供給することで、SiO膜がウエハ200上に形成された第1ホトレジストパターン603a及びハードマスク601上に形成される。尚、HO及び触媒の供給濃度は同じ濃度であるとより好ましい。
尚、ステップ3で供給する酸化原料(HOに相当する原料)として必要とされる特性は、その分子中に電気陰性度の高い原子を含んでおり、電気的に偏りを持つことである。その理由は、触媒の電気陰性度が高いため、原料ガスの活性化エネルギーを下げ反応を促進するからである。したがって、ステップ3で供給する原料ガスとしては、OH結合を有するHOやH等が適切であり、OやOのような無極性分子は不適切である。
(ステップ4)
バルブ324,334を閉じてHO,触媒の供給を停止させるとともに、図5のように、Nをキャリアガス供給管510,520,530から処理室201に供給し続け、処理室201内をNでパージする。パージ時間は例えば15秒とする。また15秒内にパージと真空引きの2工程があってもよい。その結果、処理室201内に残留したHO,触媒が処理室201内から排除される。
以降、ステップ1〜4を1サイクルとしてこのサイクルを複数回繰り返し、ウエハ200上に形成された第1ホトレジストパターン603a及びハードマスク601上に所定膜厚のSiO膜を形成する。この場合、各サイクル中で、上記の通りに、ステップ1におけるSi原料と触媒により構成される雰囲気と、ステップ3における酸化原料と触媒により構成される雰囲気の夫々の雰囲気が処理室201内で混合しないように成膜することに留意する。これにより、第1ホトレジストパターン603a及びハードマスク601上に、第1ホトレジスト保護膜としてのSiO膜604が形成される(図4c、図7)。
その後、処理室201内を真空引きして処理室201内に残留するHCD,HO,触媒を排気し、バルブ243eを制御して処理室201内を大気圧とし、ボート217を処理室201から搬出する。これにより1回の成膜処理(バッチ処理)が終了する。
尚、SiO膜604の膜厚として、リソグラフィの限界解像性であるハーフピッチ(Hp)の5%程度が第1ホトレジスト保護膜として必要である。従って、例えばHp30nmに対して、5−25Åの膜厚があればよく、好適には15Åである。
<第2ホトレジストパターン形成工程>
第2ホトレジストパターン形成工程では、第1ホトレジスト保護膜形成工程にて第1ホトレジスト上に形成されたSiO膜604上であって、第1ホトレジストパターン603aが形成される位置とは異なる位置に、第2ホトレジストパターン603bを形成する。
本工程では、第1ホトレジストパターン形成工程と同様の処理を行う。
最初に、第1ホトレジストの保護膜であるSiO膜604上に、第2ホトレジスト溶剤602bを塗布する(図4d)。次に、ベーキング、ArFエキシマ光源(193nm)やKrFエキシマ光源(248nm)等による露光、現像等を行うことで、第2ホトレジストパターン603bを形成する(図4e)。
上記のように、第1ホトレジストパターン形成工程、第1ホトレジスト保護膜形成工程、第2ホトレジストパターン形成工程を実施することにより、微細なホトレジストパターンを形成することが出来る。図6に、ALD法によりSiO膜を形成した際の図を示す。
尚、上記では、第1ホトレジストパターン603aはウエハ200上に形成されたハードマスク601上に形成することとしているが、ハードマスク601は無くても良い。
また、第2ホトレジストパターン形成後であって、所定の処理(例えば寸法検査、あわせ検査、リワーク処理等)を実施した後、必要に応じてSiO膜604を除去するために、次のような第1ホトレジスト保護膜)除去工程を実施しても良い。
<第1ホトレジスト保護膜除去工程>
第1ホトレジスト保護膜除去工程では、第1ホトレジスト保護膜形成工程にて形成された第1ホトレジスト保護膜としてのSiO膜604を除去する。
除去方式には、ウエットエッチング方式とドライエッチング方式の2つがある。ウエットエッチングによりSiO膜604を除去する場合のエッチング液としては、例えば弗化水素酸(HF)液であって、希薄なHF水溶液等が挙げられる。尚、ALD法により形成されたSiO膜はウエットエッチングレートが速い。図7に、その特性として異なる方法により形成されたSiO膜のエッチングレートをそれぞれ比較したものを示す。図7から、熱酸化膜のウエットエッチングレートを基準とした場合に、CVD法により形成されたSiO膜では5倍、ALD法により形成されたSiO膜では15倍と、ALD法により形成されたSiO膜のウエットエッチングレートが速いことがわかる。
また、ドライエッチング方式によりSiO膜604を除去する場合には、例えば、酸素プラズマ等を用いることができる。
また、上記では、ホトレジストパターンを2回形成する工程について説明したが、ホトレジストパターンは3回以上形成してもよく、その場合は、ホトレジストパターン形成工程とホトレジスト保護膜形成工程を所定回数繰り返して行う。
またホトレジストパターンを3回以上形成する場合、必要に応じて、第1ホトレジストパターン形成工程→第1ホトレジスト保護膜形成工程→第2ホトレジストパターン形成工程→第1ホトレジスト保護膜除去→第3ホトレジストパターン形成工程→第2ホトレジスト保護膜形成工程→第4ホトレジストパターン形成工程→第2ホトレジスト保護膜除去→第5ホトレジストパターン形成工程→・・・というように、保護膜を1回ずつ除去しても良い。
Si原料として例えばトリスジメチルアミノシラン(TDMAS、SiH(N(CH))、ジクロロシラン(SiHCl)、ヘキサクロロジシラン(SiCl)、トリクロロシラン(SiCl)を使用し、酸化原料として例えば、HO、H、O、O等を使用し、ALD法によりSi原料と酸化原料を交互に供給し、その交互の供給を複数回繰り返すことで所望の膜厚のSiO膜を形成することができる。これにより、低温で第1ホトレジスト保護材としてのSiO膜604を形成することができる。
上述のように、第1ホトレジストパターンの表面に薄膜を成膜することにより、第1ホトレジストパターンを保護することができ、第2ホトレジスト溶剤を塗布する際に、第2ホトレジスト溶剤が第1ホトレジストパターンへ浸透することを防止できる。
また、上記のように第2ホトレジスト溶剤が第1ホトレジストパターンへ浸透することを防止できるため、第1ホトレジストパターンが形成されていない部分に第2ホトレジストパターンを形成することができ、第1のホトレジストパターンと第2のホトレジストパターンとの最小間隔が50nm以下である微細なホトレジストパターンを形成することができる。
さらに、第1ホトレジストパターンの表面に薄膜を成膜することにより、第2ホトレジストパターン形成工程において、第1ホトレジストパターンの機械的強度を向上させることができる。
さらに、第1ホトレジスト保護膜として、例えば触媒を用いて成膜を行う極低温(触媒)SiO膜のように極低温にてプロセスを実施することが可能な薄膜を適用することにより、ホトレジストが変質する温度より低温で薄膜を形成することができるため、薄膜第1ホトレジスト保護膜形成工程において第1ホトレジストパターンの変質を防止することができる。
さらに、SiO膜はウエットエッチングレートが速いため、このSiO膜を除去する必要がある場合に、容易に除去することが可能となる。
また、ホトレジスト処理では、通常、下層に対する位置あわせのズレや寸法のズレ等の不具合が発生することが多く、この場合、一旦形成したホトレジストパターンを酸素プラズマ等によるアッシング処理で除去し、ホトレジストパターン形成工程を初めからやり直すというリワーク処理(re-work)が行なわれるが、第2ホトレジストパターンのリワーク処理時に、第1ホトレジストパターンが酸素プラズマ等によりダメージを受けてしまうという問題があった。しかし上述のように、第1ホトレジストパターン上に酸素プラズマ等によるアッシング処理に耐えうるSiO膜等の薄膜を成膜することにより、第2ホトレジストパターンのリワーク処理時に、第1ホトレジストパターンを保護することができる。
また、第2ホトレジストパターンを形成する際には、下層パターンとの位置あわせを行なうためのものであってウェハ上に形成されるアライメントマークを検出する必要がある。従って、第1ホトレジスト保護膜としての薄膜は透過性を有することが求められる。
尚、上述の実施の形態では、第1ホトレジスト保護膜として、ALD法によりSi原料、酸化原料、触媒を用いて形成する薄膜である極低温SiO膜について述べたが、第1ホトレジストパターンの変質を防止可能な温度で成膜可能であれば、これに限定されるものではなく、他の成膜方法及び他の膜種でも適用可能である。例えば、原料ガスに紫外光等を照射して所定の反応を誘起する成膜方法など光エネルギーを用いた成膜技術等でもよい。
さらに、上述の実施の形態では、第1ホトレジスト保護膜形成工程で薄膜を形成する際に、縦型基板処理装置の例を用いて説明したが、本発明は枚葉基板処理装置においても同様に適用可能である。
また、上述の実施の形態では、半導体製造装置の例として薄膜を形成する基板処理装置を用いて説明したが、半導体製造装置は基板処理装置の他にホトレジストパターンを形成するホトレジスト処理装置を備えていてもよい。これにより、ホトレジストパターンの形成及び薄膜形成を一貫処理することができる。
本発明の好ましい実施例に係る基板処理装置の概略的な構成を示す斜透視図である。 本発明の好ましい実施例で使用される縦型の処理炉とそれに付随する部材との概略構成図であり、特に処理炉部分を縦断面で示している。 図2のA−A線断面図である。 本発明の好ましい実施例において、基板として使用されるウエハにホトレジストパターンが形成される様子を概略的に示す模式図である。 本発明の好ましい実施例において、ALD法によりSiO膜を形成する際の概略的な主要ガス供給シーケンスを示す図である。 本発明の好ましい実施例において、ALD法によりSiO膜を形成した際の図である。 本発明の好ましい実施例において、SiO膜のウエットエッチング特性を示す図である。
符号の説明
101 基板処理装置
105 カセット棚
107 予備カセット棚
110 カセット
111 筐体
114 カセットステージ
115 ボートエレベータ
118 カセット搬送装置
118a カセットエレベータ
118b カセット搬送機構
123 移載棚
125 ウエハ移載機構
125a ウエハ移載装置
125b ウエハ移載装置エレベータ
125c ツイーザ
128 アーム
134a,134b クリーンユニット
147 炉口シャッタ
200 ウエハ
201 処理室
202 処理炉
203 反応管
207 ヒータ
209 マニホールド
210 底板
211 天板
212 支柱
217 ボート
218 ボート支持台
219 シールキャップ
220 Oリング
231 排気管
243e バルブ
246 真空ポンプ
267 ボート回転機構
280 コントローラ
310,320 原料ガス供給管
330 触媒供給管
312,322,332 マスフローコントローラ
314,324,334 バルブ
410,420,430 ノズル
410a,420a ガス供給孔
430a 触媒供給孔
510,520,530 キャリアガス供給管
512,522,532 マスフローコントローラ
514,524,534 バルブ
601:ハードマスク(HM)
602a:第1ホトレジスト溶剤
602b:第2ホトレジスト溶剤
603a:第1ホトレジストパターン
603b:第1ホトレジストパターン
604:SiO

Claims (5)

  1. 基板上の一部の領域に第1のホトレジストパターンを形成する第1の工程と、
    前記第1のホトレジストパターンが形成された複数の基板が収容された処理室にSi原料及び触媒と、酸化原料及び触媒とを、交互に複数回供給して、少なくとも前記第1のホトレジストパターンの表面に薄膜を形成する第2の工程と、
    前記第1のホトレジストパターンが形成されていない部位に第2のホトレジストパターン
    を形成する第3の工程と、
    を有し、
    前記第2の工程では、前記薄膜の膜厚が、前記ホトレジストパターンの各パターンの間の距離の1/2の%となるように前記薄膜を形成する半導体装置の製造方法。
  2. 前記第2の工程は、前記第1のホトレジストパターンを形成する第1のホトレジストの変質温度より低い処理温度で行なう請求項1に記載の半導体装置の製造方法。
  3. 前記酸化原料は、分子中に互いに電気陰性度の異なる複数の原子を含む請求項1もしくは2に記載の半導体装置の製造方法。
  4. 所定の処理を施した基板上の一部の領域にホトレジストパターンを形成するホトレジス
    ト処理装置と、
    複数の基板を収容する処理室と、
    前記処理室にSi原料、酸化原料及び触媒を供給するガス供給系と、
    前記処理室に複数の前記ホトレジストパターンが形成された基板を収容した状態で、前記処理室に前記Si原料及び前記触媒と、前記酸化原料及び前記触媒とを、交互に複数回前記処理室に供給して前記複数の基板に薄膜を形成するよう前記ガス供給系を制御する制御部と、
    を有し、前記制御部は、前記薄膜の膜厚が、前記ホトレジストパターンの各パターンの間の距離の1/2の5%となるように前記薄膜を形成するよう前記ガス供給系を制御する基板処理装置と、
    を有する半導体製造装置。
  5. 前記基板を加熱する加熱ユニットをさらに有し、
    前記制御部は、前記Si原料、前記酸化原料及び前記触媒を供給する際は、前記基板を前記ホトレジストパターンを形成するホトレジストの変質温度より低い処理温度に加熱するよう前記ガス供給系及び前記加熱ユニットを制御する請求項4に記載の半導体製造装置。
JP2008123738A 2008-05-09 2008-05-09 半導体装置の製造方法及び半導体製造装置 Active JP5384852B2 (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2008123738A JP5384852B2 (ja) 2008-05-09 2008-05-09 半導体装置の製造方法及び半導体製造装置
KR1020080082361A KR101002259B1 (ko) 2008-05-09 2008-08-22 반도체 장치의 제조 방법 및 반도체 장치
TW097132467A TWI421907B (zh) 2008-05-09 2008-08-26 半導體裝置的製造方法及半導體製造裝置
US12/201,606 US8093159B2 (en) 2008-05-09 2008-08-29 Manufacturing method of semiconductor device, and semiconductor device
KR1020090019920A KR101167506B1 (ko) 2008-05-09 2009-03-09 반도체 제조 장치
US12/406,728 US20090277382A1 (en) 2008-05-09 2009-03-18 Semiconductor manufacturing apparatus
KR1020110009324A KR101089337B1 (ko) 2008-05-09 2011-01-31 반도체 장치의 제조 방법
US13/311,634 US8535479B2 (en) 2008-05-09 2011-12-06 Manufacturing method of semiconductor device, and semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008123738A JP5384852B2 (ja) 2008-05-09 2008-05-09 半導体装置の製造方法及び半導体製造装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009113511A Division JP5385001B2 (ja) 2009-05-08 2009-05-08 半導体装置の製造方法、基板処理装置

Publications (2)

Publication Number Publication Date
JP2009272558A JP2009272558A (ja) 2009-11-19
JP5384852B2 true JP5384852B2 (ja) 2014-01-08

Family

ID=41265830

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008123738A Active JP5384852B2 (ja) 2008-05-09 2008-05-09 半導体装置の製造方法及び半導体製造装置

Country Status (4)

Country Link
US (3) US8093159B2 (ja)
JP (1) JP5384852B2 (ja)
KR (3) KR101002259B1 (ja)
TW (1) TWI421907B (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4734317B2 (ja) * 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
JP5384852B2 (ja) 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
JP4985987B2 (ja) * 2008-10-15 2012-07-25 信越化学工業株式会社 パターン形成方法
JP5136439B2 (ja) * 2008-11-28 2013-02-06 Jsr株式会社 多層レジストプロセス用シリコン含有膜形成用組成物及びシリコン含有膜並びにパターン形成方法
KR101552937B1 (ko) * 2009-01-29 2015-09-15 삼성전자주식회사 반도체 기판의 수분 제거 방법 및 이를 이용한 원자층 증착방법
JP5329265B2 (ja) * 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP2011176177A (ja) * 2010-02-25 2011-09-08 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置
US7994070B1 (en) 2010-09-30 2011-08-09 Tokyo Electron Limited Low-temperature dielectric film formation by chemical vapor deposition
JP5722008B2 (ja) 2010-11-24 2015-05-20 株式会社日立国際電気 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
JP6080253B2 (ja) * 2011-12-26 2017-02-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP5519059B2 (ja) * 2013-05-23 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
JP5998101B2 (ja) * 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5788448B2 (ja) * 2013-09-09 2015-09-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
KR20160091164A (ko) 2015-01-23 2016-08-02 삼성전자주식회사 미세 패턴 형성 방법 및 이를 이용한 반도체 장치 제조 방법
JP5957128B2 (ja) * 2015-07-29 2016-07-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP6630237B2 (ja) 2016-06-06 2020-01-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
JP2022049828A (ja) 2020-09-17 2022-03-30 キオクシア株式会社 パターン形成方法および半導体装置の製造方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08339950A (ja) 1995-06-09 1996-12-24 Sony Corp フォトレジストパターン形成方法及びフォトレジスト処理装置
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
US6593077B2 (en) * 1999-03-22 2003-07-15 Special Materials Research And Technology, Inc. Method of making thin films dielectrics using a process for room temperature wet chemical growth of SiO based oxides on a substrate
KR100476299B1 (ko) * 2002-05-03 2005-03-16 주식회사 무한 반도체 소자 제조용 원자층 증착장치 및 원자층 증착 방법
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
TWI262960B (en) * 2003-02-27 2006-10-01 Samsung Electronics Co Ltd Method for forming silicon dioxide film using siloxane
JP3913723B2 (ja) 2003-08-15 2007-05-09 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7355384B2 (en) * 2004-04-08 2008-04-08 International Business Machines Corporation Apparatus, method, and computer program product for monitoring and controlling a microcomputer using a single existing pin
JP2006286716A (ja) * 2005-03-31 2006-10-19 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
KR100674967B1 (ko) 2005-04-06 2007-01-26 삼성전자주식회사 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법
US8057603B2 (en) * 2006-02-13 2011-11-15 Tokyo Electron Limited Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
US7704680B2 (en) * 2006-06-08 2010-04-27 Advanced Micro Devices, Inc. Double exposure technology using high etching selectivity
JP4772618B2 (ja) * 2006-07-31 2011-09-14 東京応化工業株式会社 パターン形成方法、金属酸化物膜形成用材料およびその使用方法
US7795143B2 (en) * 2006-08-11 2010-09-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of semiconductor device
US7914974B2 (en) * 2006-08-18 2011-03-29 Brewer Science Inc. Anti-reflective imaging layer for multiple patterning process
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
JP5138916B2 (ja) * 2006-09-28 2013-02-06 東京応化工業株式会社 パターン形成方法
JP5000250B2 (ja) * 2006-09-29 2012-08-15 東京応化工業株式会社 パターン形成方法
WO2008050596A1 (fr) * 2006-10-25 2008-05-02 Panasonic Corporation Procédé de dopage par plasma et appareil de dopage par plasma
EP2088123A1 (en) * 2006-11-10 2009-08-12 Sumitomo Electric Industries, Ltd. Si-O CONTAINING HYDROGENATED CARBON FILM, OPTICAL DEVICE INCLUDING THE SAME, AND METHOD FOR MANUFACTURING THE Si-O CONTAINING HYDROGENATED FILM AND THE OPTICAL DEVICE
WO2008059440A2 (en) * 2006-11-14 2008-05-22 Nxp B.V. Double patterning for lithography to increase feature spatial density
KR100876783B1 (ko) * 2007-01-05 2009-01-09 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8236592B2 (en) * 2007-01-12 2012-08-07 Globalfoundries Inc. Method of forming semiconductor device
US7821637B1 (en) * 2007-02-22 2010-10-26 J.A. Woollam Co., Inc. System for controlling intensity of a beam of electromagnetic radiation and method for investigating materials with low specular reflectance and/or are depolarizing
JP4871783B2 (ja) * 2007-05-09 2012-02-08 東京応化工業株式会社 パターン形成方法
JP4871786B2 (ja) * 2007-05-11 2012-02-08 東京応化工業株式会社 パターン形成方法
KR101101785B1 (ko) * 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
JP4973876B2 (ja) * 2007-08-22 2012-07-11 信越化学工業株式会社 パターン形成方法及びこれに用いるパターン表面コート材
JP2009053546A (ja) * 2007-08-28 2009-03-12 Tokyo Ohka Kogyo Co Ltd パターン形成方法及び被覆膜形成用材料
KR101439394B1 (ko) * 2008-05-02 2014-09-15 삼성전자주식회사 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
JP5384852B2 (ja) 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置

Also Published As

Publication number Publication date
KR20110025193A (ko) 2011-03-09
JP2009272558A (ja) 2009-11-19
US20090278235A1 (en) 2009-11-12
US20090277382A1 (en) 2009-11-12
TW200947515A (en) 2009-11-16
TWI421907B (zh) 2014-01-01
KR101167506B1 (ko) 2012-07-23
KR20090117579A (ko) 2009-11-12
KR101002259B1 (ko) 2010-12-20
US8535479B2 (en) 2013-09-17
KR101089337B1 (ko) 2011-12-02
US8093159B2 (en) 2012-01-10
US20120073751A1 (en) 2012-03-29
KR20090117603A (ko) 2009-11-12

Similar Documents

Publication Publication Date Title
JP5384852B2 (ja) 半導体装置の製造方法及び半導体製造装置
JP5329265B2 (ja) 半導体装置の製造方法および基板処理装置
JP6560924B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP5743488B2 (ja) 基板処理装置および半導体装置の製造方法
JP5882509B2 (ja) 基板処理装置および半導体装置の製造方法
US20110076789A1 (en) Manufacturing method of semiconductor device and substrate processing apparatus
WO2020016914A1 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP4836761B2 (ja) 半導体デバイスの製造方法
JP5519059B2 (ja) 半導体デバイスの製造方法および基板処理装置
JP6186022B2 (ja) 基板処理装置および半導体装置の製造方法
JP5385001B2 (ja) 半導体装置の製造方法、基板処理装置
JP2011176177A (ja) 半導体デバイスの製造方法、半導体デバイスおよび基板処理装置
JP6475135B2 (ja) 半導体装置の製造方法、ガス供給方法及び基板処理装置並びに基板保持具
TWI578384B (zh) A semiconductor device manufacturing method, a substrate processing method, and a substrate processing apparatus
US10388762B2 (en) Method of manufacturing semiconductor device
JP7387685B2 (ja) 半導体装置の製造方法、基板処理方法、プログラム、および基板処理装置
JP2009224457A (ja) 基板処理装置
JP2011035191A (ja) 基板処理装置
JP2012009744A (ja) 基板処理装置
JP2008227259A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110425

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120809

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120823

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121019

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130523

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130717

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130905

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131003

R150 Certificate of patent or registration of utility model

Ref document number: 5384852

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250