WO2017170411A1 - 被処理体を処理する方法 - Google Patents

被処理体を処理する方法 Download PDF

Info

Publication number
WO2017170411A1
WO2017170411A1 PCT/JP2017/012407 JP2017012407W WO2017170411A1 WO 2017170411 A1 WO2017170411 A1 WO 2017170411A1 JP 2017012407 W JP2017012407 W JP 2017012407W WO 2017170411 A1 WO2017170411 A1 WO 2017170411A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
mask
plasma
processing container
film
Prior art date
Application number
PCT/JP2017/012407
Other languages
English (en)
French (fr)
Inventor
嘉英 木原
亨 久松
智之 大石
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2016147477A external-priority patent/JP6784530B2/ja
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to KR1020187030839A priority Critical patent/KR102362282B1/ko
Priority to US16/089,024 priority patent/US10714340B2/en
Priority to CN201780020010.3A priority patent/CN108885991B/zh
Priority to KR1020227004299A priority patent/KR102549308B1/ko
Publication of WO2017170411A1 publication Critical patent/WO2017170411A1/ja
Priority to US16/898,492 priority patent/US11244828B2/en
Priority to US17/560,245 priority patent/US11823903B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • Embodiments of the present invention relate to a method of processing an object to be processed, and more particularly to a method including creation of a mask.
  • the wavelength is 13.5 [13.5] shorter than the ArF excimer laser light (wavelength: 193 [nm]) used in the current state-of-the-art device manufacturing.
  • nm] EUV (Extreme Ultra-Violet) light The absorption of light increases with the shortening of the wavelength, and the resist pattern width becomes finer in the generation using EUV lithography, so that the aspect ratio of the resist pattern increases and pattern collapse easily occurs.
  • the film thickness of the resist for EUV lithography is reduced. Specifically, an aspect ratio of about 3 or less with respect to the resist pattern width is set to a practical level.
  • the resist film height is about 30 [nm] when the pattern width is 10 [nm]
  • the resist film is when the pattern width is 7 [nm]. Is about 20 nm.
  • the roughness of the line pattern edge shape is expressed by using LWR (Line Width Roughness: variation in line width [nm]) and LER (Line Edge Roughness: variation in line end position [nm]) as an index.
  • LWR Line Width Roughness: variation in line width [nm]
  • LER Line Edge Roughness: variation in line end position [nm]
  • the method for enhancing the plasma etching performance described in Patent Document 1 provides a method of etching and forming features without bowing in a dielectric layer on a semiconductor wafer by etching a structure defined by an etch mask using plasma.
  • the purpose is that.
  • a mask is formed on a dielectric layer, a protective silicon-containing coating is formed on the exposed surface of the mask, and the feature is etched through the mask and the protective silicon-containing coating.
  • the feature is partially etched prior to forming the protective silicon-containing coating.
  • the technique described in Patent Document 1 uses plasma to form a protective silicon-containing coating on the resist mask and on the side wall of the partially etched feature.
  • An object of the plasma etching method described in Patent Document 2 is to provide a plasma etching method that can suppress variations in processing dimensions in a plasma etching method that performs plasma etching using a resist that has been exposed to EUV.
  • the method described in Patent Document 2 is a plasma etching method in which a material to be etched is plasma-etched using a multilayer resist having an EUV-exposed resist, an antireflection film, an inorganic film, and an organic film as a mask, and the antireflection film is etched.
  • a first step of depositing a deposited film on the surface of the resist before, a deposited film deposited on the antireflection film using a mixed gas of Cl 2 gas, HBr gas and N 2 gas after the first step, and the antireflection A second step of etching the film; a third step of etching the inorganic film after the second step; and a fourth step of etching the organic film after the third step.
  • the technique disclosed in Patent Document 2 uses a plasma to deposit a deposited film on the surface of a resist layer before etching the material to be etched as a technique capable of suppressing variations in processing dimensions using an EUV resist. It has become.
  • the resist for EUV lithography used for the formation of such a fine pattern as described above has a film thickness that is half or less of the film thickness of a conventional ArF resist due to the limitations of lithography. Therefore, when such a relatively thin and high-definition mask pattern is formed, the mask selection ratio is improved in the cure process, the antireflection film etching process, and the organic film etching process. Therefore, it is necessary to suppress LWR and LER, and to suppress the influence of pattern density (pattern shape is different due to pattern density).
  • Patent Document 1 it is possible to form a protective film for a silicon-containing film by using plasma of SiF 4 gas and H 2 gas.
  • the amount of film formation may vary depending on the density of the pattern.
  • Patent Document 2 it is possible to form a protective film of an organic film using plasma of CHF 3 gas and Cl 2 gas.
  • the amount of protection may vary depending on the density of the pattern, particularly when the pattern has a density area.
  • a method for treating a workpiece includes a layer to be etched, an organic film provided on the layer to be etched, an antireflection film provided on the organic film, and a first mask provided on the antireflection film, Is provided.
  • the method includes a step of forming a protective film conformally on the surface of the first mask in a processing container of a plasma processing apparatus in which an object to be processed is stored (referred to as step a), and a step a.
  • step a step of removing the antireflection film for each atomic layer by plasma generated in the processing container using the first mask on which the protective film is formed, and etching the antireflection film (referred to as step b) And comprising.
  • a conformal film with a precisely controlled thickness is formed on the first mask regardless of the density difference of the mask, and the shape of the mask is maintained.
  • the resistance to etching of the mask is enhanced, and the step b is executed, so that the selectivity of the mask is improved and the mask shape (LWR (Line Width Roughness) and LER (Line Edge Roughness)) is affected by the etching. Is reduced.
  • step a plasma is generated in the processing container and a negative DC voltage is applied to the upper electrode of the parallel plate electrode provided in the processing container, so that the second mask is applied to the first mask.
  • a step of irradiating secondary electrons (referred to as step c) is further provided.
  • the first mask since the first mask is irradiated with the secondary electrons before the execution of the step a for forming the protective film, the first mask can be modified before the formation of the protective film, and the first mask in the subsequent steps can be modified. Damage to one mask can be suppressed.
  • the electrode plate of the upper electrode contains silicon, and step c releases silicon from the electrode plate by generating a plasma in the processing vessel and applying a negative DC voltage to the upper electrode. Then, the first mask is covered with a silicon oxide compound containing silicon. Thus, since the silicon oxide compound covers the first mask in the step c, damage to the first mask due to the subsequent steps can be further suppressed.
  • the step a includes a first step of supplying a first gas into the processing vessel, a second step of purging the space in the processing vessel after the execution of the first step, and a second step After the execution, the first sequence including the third step of generating the plasma of the second gas in the processing container and the fourth step of purging the space in the processing container after the execution of the third step is repeatedly executed.
  • a protective film is conformally formed on the surface of the first mask, and the first step does not generate plasma of the first gas.
  • a protective film is conformally formed on the silicon compound on the surface of the first mask by a method similar to the ALD (Atomic Layer Deposition) method, so that the strength of protection against the mask is improved.
  • a protective film for protecting the mask can be formed with a uniform film thickness.
  • the first gas includes an organic-containing aminosilane-based gas.
  • the first gas contains an aminosilane-based gas containing an organic substance, a silicon reaction precursor is formed on the first mask along the atomic layer on the surface of the first mask by the first step.
  • the first gas aminosilane-based gas may comprise an aminosilane having 1 to 3 silicon atoms.
  • the aminosilane-based gas of the first gas may include an aminosilane having 1 to 3 amino groups.
  • aminosilane containing 1 to 3 silicon atoms can be used as the aminosilane-based gas as the first gas.
  • aminosilane containing 1 to 3 amino groups can be used as the aminosilane-based gas of the first gas.
  • the second gas includes a gas containing oxygen atoms and carbon atoms. Since the second gas contains oxygen atoms in this way, in the third step, the oxygen atoms are bonded to the silicon reaction precursor provided on the first mask, thereby protecting the silicon oxide on the first mask. The film can be formed conformally. Further, since the second gas contains carbon atoms, erosion of the first mask by oxygen atoms can be suppressed by the carbon atoms.
  • a plasma of a third gas is generated in the processing container, and the mixed layer containing radicals contained in the plasma is changed to an atomic layer on the surface of the antireflection film.
  • a fifth process to be formed, a sixth process for purging the space in the processing container after the execution of the fifth process, and a plasma of the fourth gas are generated in the processing container after the execution of the sixth process.
  • An antireflection film by repeatedly executing a second sequence including a seventh step of applying a bias voltage to remove the mixed layer and an eighth step of purging the space in the processing container after the execution of the seventh step. Is removed for each atomic layer to etch the antireflection film.
  • the antireflection film can be removed for each atomic layer by a method similar to the ALE (Atomic Layer Etching) method.
  • the third gas includes a fluorocarbon-based gas and a rare gas.
  • fluorine radicals and carbon radicals are supplied to the atomic layer on the surface of the antireflection film, and both radicals are contained in the atomic layer on the surface.
  • a mixed layer may be formed.
  • the fourth gas includes a noble gas. As described above, since the fourth gas contains a rare gas, the mixed layer formed on the surface of the antireflection film in the seventh step is removed from the surface by the energy received by the rare gas plasma by the bias voltage. Can be done.
  • the method further includes a step of performing an etching process on the organic film using the second mask by plasma generated in the processing container after the step b is performed. It is formed from the antireflection film.
  • steps a and b a mask whose shape is maintained and the selection ratio is improved is formed on the organic film without depending on the density of the mask.
  • the organic film can be etched, and the organic film can be etched well.
  • FIG. 1 is a flow diagram illustrating a method of an embodiment.
  • FIG. 2 is a diagram illustrating an example of a plasma processing apparatus.
  • FIG. 3 is a cross-sectional view showing the state of the object to be processed before and after each step shown in FIG. 1 including the (a) part, the (b) part, and the (c) part.
  • FIG. 4 is a cross-sectional view showing a state of the object to be processed after the steps shown in FIG. 1 are performed, including the parts (a) and (b).
  • FIG. 5 is a diagram schematically showing how the protective film is formed in the sequence for forming the protective film shown in FIG.
  • FIG. 6 is a diagram showing the principle of etching in the method shown in FIG.
  • FIG. 1 is a flow diagram illustrating a method of an embodiment.
  • a method MT according to an embodiment shown in FIG. 1 is a method for processing an object to be processed (hereinafter also referred to as “wafer”).
  • the method MT is an example of a method for etching a wafer.
  • a series of steps can be performed using a single plasma processing apparatus.
  • FIG. 2 is a diagram illustrating an example of a plasma processing apparatus.
  • FIG. 2 schematically shows a cross-sectional structure of a plasma processing apparatus 10 that can be used in various embodiments of a method for processing an object.
  • the plasma processing apparatus 10 is a plasma etching apparatus including parallel plate electrodes, and includes a processing container 12.
  • the processing container 12 has a substantially cylindrical shape.
  • the processing container 12 is made of, for example, aluminum, and an inner wall surface thereof is anodized.
  • the processing container 12 is grounded for safety.
  • a substantially cylindrical support portion 14 is provided on the bottom of the processing container 12.
  • the support part 14 is comprised from the insulating material, for example.
  • the insulating material constituting the support portion 14 may contain oxygen like quartz.
  • the support portion 14 extends in the vertical direction from the bottom of the processing container 12 in the processing container 12.
  • a mounting table PD is provided in the processing container 12. The mounting table PD is supported by the support unit 14.
  • the mounting table PD holds the wafer W on the upper surface of the mounting table PD.
  • the mounting table PD has a lower electrode LE and an electrostatic chuck ESC.
  • the lower electrode LE includes a first plate 18a and a second plate 18b.
  • the first plate 18a and the second plate 18b are made of a metal such as aluminum aluminum and have a substantially disk shape.
  • the second plate 18b is provided on the first plate 18a and is electrically connected to the first plate 18a.
  • An electrostatic chuck ESC is provided on the second plate 18b.
  • the electrostatic chuck ESC has a structure in which electrodes that are conductive films are arranged between a pair of insulating layers or a pair of insulating sheets.
  • a DC power source 22 is electrically connected to the electrode of the electrostatic chuck ESC via a switch 23.
  • the electrostatic chuck ESC attracts the wafer W with an electrostatic force such as a Coulomb force generated by a DC voltage from the DC power supply 22. Thereby, the electrostatic chuck ESC can hold the wafer W.
  • a focus ring FR is disposed on the peripheral edge of the second plate 18b so as to surround the edge of the wafer W and the electrostatic chuck ESC.
  • the focus ring FR is provided in order to improve the etching uniformity.
  • the focus ring FR is made of a material appropriately selected according to the material of the film to be etched, and can be made of, for example, quartz.
  • a coolant channel 24 is provided inside the second plate 18b.
  • the refrigerant flow path 24 constitutes a temperature adjustment mechanism.
  • Refrigerant is supplied to the refrigerant flow path 24 from a chiller unit (not shown) provided outside the processing container 12 via a pipe 26a.
  • the refrigerant supplied to the refrigerant flow path 24 is returned to the chiller unit via the pipe 26b.
  • the refrigerant is supplied to the refrigerant flow path 24 so as to circulate.
  • the temperature of the wafer W supported by the electrostatic chuck ESC is controlled.
  • the plasma processing apparatus 10 is provided with a gas supply line 28.
  • the gas supply line 28 supplies the heat transfer gas from the heat transfer gas supply mechanism, for example, He gas, between the upper surface of the electrostatic chuck ESC and the back surface of the wafer W.
  • the plasma processing apparatus 10 is provided with a heater HT that is a heating element.
  • the heater HT is embedded in the second plate 18b, for example.
  • a heater power source HP is connected to the heater HT. By supplying electric power from the heater power source HP to the heater HT, the temperature of the mounting table PD is adjusted, and the temperature of the wafer W mounted on the mounting table PD is adjusted.
  • the heater HT may be built in the electrostatic chuck ESC.
  • the plasma processing apparatus 10 includes an upper electrode 30.
  • the upper electrode 30 is disposed above the mounting table PD so as to face the mounting table PD.
  • the lower electrode LE and the upper electrode 30 are provided substantially parallel to each other, and constitute a parallel plate electrode.
  • a processing space S for performing plasma processing on the wafer W is provided between the upper electrode 30 and the lower electrode LE.
  • the upper electrode 30 is supported on the upper portion of the processing container 12 via an insulating shielding member 32.
  • the insulating shielding member 32 is made of an insulating material and can contain oxygen, for example, quartz.
  • the upper electrode 30 can include an electrode plate 34 and an electrode support 36.
  • the electrode plate 34 faces the processing space S, and the electrode plate 34 is provided with a plurality of gas discharge holes 34a.
  • the electrode plate 34 contains silicon. In another embodiment, the electrode plate 34 may contain silicon oxide.
  • the electrode support 36 detachably supports the electrode plate 34 and can be made of a conductive material such as aluminum.
  • the electrode support 36 may have a water cooling structure.
  • a gas diffusion chamber 36 a is provided inside the electrode support 36.
  • a plurality of gas flow holes 36 b communicating with the gas discharge holes 34 a extend downward from the gas diffusion chamber 36 a.
  • the electrode support 36 is formed with a gas introduction port 36c that guides the processing gas to the gas diffusion chamber 36a, and a gas supply pipe 38 is connected to the gas introduction port 36c.
  • a gas source group 40 is connected to the gas supply pipe 38 via a valve group 42 and a flow rate controller group 44.
  • the gas source group 40 has a plurality of gas sources.
  • the plurality of gas sources include an organic-containing aminosilane-based gas source, a fluorocarbon-based gas (C x F y gas (x, y is an integer of 1 to 10)), a gas having oxygen atoms and carbon atoms (for example, A source of carbon dioxide gas, etc.), a source of nitrogen gas, a source of hydrogen-containing gas, and a source of noble gas.
  • a fluorocarbon-based gas any fluorocarbon-based gas such as CF 4 gas, C 4 F 6 gas, and C 4 F 8 gas can be used.
  • aminosilane-based gas those having a molecular structure having a relatively small number of amino groups can be used.
  • monoaminosilane H 3 —Si—R (R contains an organic substance and is substituted). Good amino groups)
  • the aminosilane-based gas can include an aminosilane that can have 1 to 3 silicon atoms, or can have 1 to 3 amino groups.
  • Amino silane can be included.
  • An aminosilane having 1 to 3 silicon atoms is a monosilane having 1 to 3 amino groups (monoaminosilane), a disilane having 1 to 3 amino groups, or a trisilane having 1 to 3 amino groups It can be.
  • the above aminosilane can have an optionally substituted amino group.
  • the amino group can be substituted with any of a methyl group, an ethyl group, a propyl group, and a butyl group.
  • the above methyl group, ethyl group, propyl group, or butyl group can be substituted by halogen.
  • the rare gas any rare gas such as Ar gas or He gas can be used.
  • the valve group 42 includes a plurality of valves
  • the flow rate controller group 44 includes a plurality of flow rate controllers such as a mass flow controller.
  • Each of the plurality of gas sources of the gas source group 40 is connected to the gas supply pipe 38 via a corresponding valve of the valve group 42 and a corresponding flow rate controller of the flow rate controller group 44. Therefore, the plasma processing apparatus 10 can supply the gas from one or more gas sources selected from the plurality of gas sources of the gas source group 40 into the processing container 12 at individually adjusted flow rates. It is.
  • a deposition shield 46 is detachably provided along the inner wall of the processing container 12.
  • the deposition shield 46 is also provided on the outer periphery of the support portion 14.
  • the deposition shield 46 prevents the etching byproduct (depot) from adhering to the processing container 12 and can be configured by coating an aluminum material with ceramics such as Y 2 O 3 .
  • the deposition shield can be made of a material containing oxygen such as quartz.
  • An exhaust plate 48 is provided on the bottom side of the processing container 12 and between the support 14 and the side wall of the processing container 12.
  • the exhaust plate 48 can be configured by, for example, coating an aluminum material with ceramics such as Y 2 O 3 .
  • An exhaust port 12 e is provided below the exhaust plate 48 and in the processing container 12.
  • An exhaust device 50 is connected to the exhaust port 12e via an exhaust pipe 52.
  • the exhaust device 50 has a vacuum pump such as a turbo molecular pump, and can depressurize the space in the processing container 12 to a desired degree of vacuum.
  • a loading / unloading port 12 g for the wafer W is provided on the side wall of the processing container 12, and the loading / unloading port 12 g can be opened and closed by a gate valve 54.
  • the plasma processing apparatus 10 further includes a first high frequency power source 62 and a second high frequency power source 64.
  • the first high-frequency power source 62 is a power source that generates first high-frequency power for plasma generation, and generates a high-frequency power of 27 to 100 [MHz], in one example, 60 [MHz].
  • the first high-frequency power source 62 has a pulse specification and can be controlled at a frequency of 5 to 10 [kHz] and a duty of 50 to 100%.
  • the first high frequency power supply 62 is connected to the upper electrode 30 via the matching unit 66.
  • the matching unit 66 is a circuit for matching the output impedance of the first high-frequency power source 62 with the input impedance on the load side (lower electrode LE side). Note that the first high-frequency power source 62 may be connected to the lower electrode LE via the matching unit 66.
  • the second high-frequency power source 64 is a power source that generates second high-frequency power for drawing ions into the wafer W, that is, a power source for generating high-frequency bias power, and has a frequency within a range of 400 [kHz] to 40.68 [MHz]. In one example, high-frequency bias power having a frequency of 13.56 [MHz] is generated.
  • the second high-frequency power supply 64 has a pulse specification and can be controlled at a frequency of 5 to 40 [kHz] and a duty of 20 to 100%.
  • the second high frequency power supply 64 is connected to the lower electrode LE via the matching unit 68.
  • the matching unit 68 is a circuit for matching the output impedance of the second high-frequency power source 64 with the input impedance on the load side (lower electrode LE side).
  • the plasma processing apparatus 10 further includes a power source 70.
  • the power source 70 is connected to the upper electrode 30.
  • the power source 70 applies a voltage to the upper electrode 30 for drawing positive ions present in the processing space S into the electrode plate 34.
  • the power source 70 is a DC power source that generates a negative DC voltage. When such a voltage is applied from the power source 70 to the upper electrode 30, positive ions existing in the processing space S collide with the electrode plate 34. Thereby, secondary electrons and / or silicon are emitted from the electrode plate 34.
  • the plasma processing apparatus 10 may further include a control unit Cnt.
  • the control unit Cnt is a computer including a processor, a storage unit, an input device, a display device, and the like, and controls each unit of the plasma processing apparatus 10.
  • the control unit Cnt includes a valve group 42, a flow rate controller group 44, an exhaust device 50, a first high-frequency power source 62, a matching unit 66, a second high-frequency power source 64, a matching unit 68, a power source 70, and a heater power source. Connected to HP and chiller unit.
  • the control unit Cnt operates according to a program based on the input recipe and sends out a control signal.
  • selection and flow rate of the gas supplied from the gas source group 40, exhaust of the exhaust device 50, power supply from the first high frequency power source 62 and the second high frequency power source 64, and from the power source 70 It is possible to control the voltage application, the power supply of the heater power supply HP, the refrigerant flow rate from the chiller unit, and the refrigerant temperature. Note that each step of the method MT for processing an object to be processed disclosed in this specification can be executed by operating each unit of the plasma processing apparatus 10 under the control of the control unit Cnt.
  • FIG. 3 is a cross-sectional view showing a state of the object to be processed before and after each step shown in FIG.
  • the wafer W prepared in the process ST1 includes a substrate SB, an etching target layer EL, an organic film OL, an antireflection film AL, and a mask MK1 (first mask).
  • the etched layer EL is provided on the substrate SB.
  • the layer to be etched EL is a layer made of a material that is selectively etched with respect to the organic film OL, and an insulating film is used.
  • the layer to be etched EL can be made of, for example, silicon oxide (SiO 2 ).
  • the etched layer EL can be made of other materials such as polycrystalline silicon.
  • the organic film OL is provided on the etched layer EL.
  • the organic film OL is a layer containing carbon, for example, an SOH (spin-on hard mask) layer.
  • the antireflection film AL is a silicon-containing antireflection film, and is provided on the organic film OL.
  • the mask MK1 is provided on the antireflection film AL.
  • the mask MK1 is a resist mask made of a resist material, and is produced by patterning a resist layer by a photolithography technique.
  • the mask MK1 can be, for example, an ArF resist.
  • the mask MK1 partially covers the antireflection film AL.
  • the mask MK1 defines an opening OP1 that partially exposes the antireflection film AL.
  • the pattern of the mask MK1 is, for example, a line and space pattern, but other various patterns such as a pattern that provides a circular opening in a plan view and a pattern that provides an elliptical opening in a plan view. Can have.
  • the mask MK1 on the antireflection film AL has a height of HG1 [nm].
  • the mask is “dense” (wafer).
  • the mask may be “sparse” (wafer (sparse)).
  • FIG. 3 is a cross-sectional view showing a state of the object to be processed before and after each step shown in FIG.
  • FIG. 4 is a cross-sectional view showing the state of the object to be processed after each step of the method shown in FIG.
  • FIG. 5 is a diagram schematically showing how the protective film is formed in the sequence for forming the protective film shown in FIG.
  • step ST1 a wafer W shown in FIG. 3A is prepared, and the wafer W is accommodated in the processing container 12 of the plasma processing apparatus 10 and placed on the electrostatic chuck ESC. After preparing the wafer W shown in part (a) of FIG. 3 as the wafer W shown in FIG. 2 in the process ST1, each process after the process ST2 is executed.
  • step ST2 the wafer W is irradiated with secondary electrons.
  • plasma is generated in the processing vessel 12 to form the upper electrode 30 before the execution of the sequence SQ1 and the step ST4 in which a silicon oxide protective film (protective film SX) is conformally formed on the mask MK1.
  • This is a step of irradiating the mask MK1 with secondary electrons by applying a negative DC voltage.
  • the mask MK1 is irradiated with secondary electrons before the series of steps SQ1 to ST4 for forming the protective film SX is performed, the mask MK1 is modified before the protective film SX is formed. Thus, damage to the mask MK1 due to subsequent processes can be suppressed.
  • process ST2 The processing content of process ST2 is demonstrated concretely.
  • hydrogen gas and rare gas are supplied into the processing container 12, and high-frequency power is supplied from the first high-frequency power source 62, thereby generating plasma in the processing container 12.
  • Hydrogen gas and a rare gas are supplied into the processing container 12 from a gas source selected from among a plurality of gas sources in the gas source group 40. Accordingly, positive ions in the processing space S are attracted to the upper electrode 30, and the positive ions collide with the upper electrode 30. When positive ions collide with the upper electrode 30, secondary electrons are emitted from the upper electrode 30. By irradiating the wafer W with the emitted secondary electrons, the mask MK1 is modified.
  • silicon that is a constituent material of the electrode plate 34 is released together with secondary electrons.
  • the released silicon combines with oxygen released from the components of the plasma processing apparatus 10 exposed to the plasma.
  • the oxygen is released from members such as the support portion 14, the insulating shielding member 32, and the deposition shield 46, for example.
  • a silicon oxide compound is generated by the combination of silicon and oxygen, and the silicon oxide compound is deposited on the wafer W to cover and protect the mask MK1.
  • plasma is generated in the processing container 12 to apply a negative DC voltage to the upper electrode 30, thereby irradiating the mask MK1 with secondary electrons.
  • step ST2a silicon is released from the electrode plate 34, and the mask MK1 is covered with a silicon oxide compound containing the silicon. Then, the mask MK1 is irradiated with secondary electrons, and after the mask MK1 is covered with a silicon oxide compound, the space in the processing container 12 is purged, and the process proceeds to step ST2a.
  • the silicon oxide compound covers the mask MK1 in the process ST2, damage to the mask MK1 in the subsequent process can be further suppressed.
  • step ST2 in order to modify or form a protective film by irradiation of secondary electrons, the bias power of the second high-frequency power supply 64 may be minimized to suppress silicon emission. It is also possible to exclude step ST2 in method MT.
  • sequence SQ1, step ST5, sequence SQ2, and step ST7 are sequentially executed.
  • a series of steps from sequence SQ1 to step ST5 is a step in which a protective film SX of a silicon oxide film is conformally formed on the surface of the mask MK1, and a series of steps from sequence SQ2 to step ST7 are steps of sequence SQ1 to step ST5.
  • the antireflection film AL is precisely etched by removing the antireflection film AL for each atomic layer using the mask MK1 on which the protective film SX of the silicon oxide film is formed.
  • a protective film SX having a conformal film thickness that is accurately controlled is formed on the mask regardless of the density difference of the mask. Resistance to etching of the mask is enhanced while maintaining the mask shape, and the selection ratio of the mask is improved by executing a series of steps SQ2 to ST7, and the mask shape (LWR (Line Width Roughness) and LER (Line Edge Roughness) are affected by etching.
  • LWR Line Width Roughness
  • LER Line Edge Roughness
  • the sequence SQ1 (first sequence) is executed once (unit cycle) or more.
  • the sequence SQ1 and the step ST4 are steps for forming the silicon oxide protective film SX conformally with a uniform thickness on the wafer W by a method similar to the ALD (Atomic Layer Deposition) method, and are sequentially executed in the sequence SQ1. It includes a process ST3a (first process), a process ST3b (second process), a process ST3c (third process), and a process ST3d (fourth process).
  • step ST3a the first gas G1 is supplied into the processing container 12. Specifically, in step ST3a, as shown in part (a) of FIG. 5, a first gas G1 containing silicon is introduced into the processing container 12.
  • the first gas G1 includes an aminosilane-based gas that is organically contained.
  • a first gas G1 of an aminosilane-based gas that is organically contained is supplied from the gas source selected from the plurality of gas sources of the gas source group 40 into the processing container 12.
  • the first gas G1 for example, monoaminosilane (H 3 —Si—R (R is an amino group containing an organic) is used as an aminosilane-based gas.
  • plasma of the first gas G1 is not generated.
  • the molecules of the first gas G1 adhere to the surface of the wafer W as a reaction precursor (layer Ly1) as shown in part (b) of FIG.
  • the molecules of the first gas G1 (monoaminosilane) adhere to the surface of the wafer W by chemical adsorption based on chemical bonds, and plasma is not used.
  • the temperature of the wafer W is about 0 degree Celsius or higher and not higher than the glass transition temperature of the material included in the mask MK1 (for example, 200 degree Celsius or lower).
  • a gas other than monoaminosilane can be used as long as it can be attached to the surface by chemical bonding within the temperature range and contains silicon.
  • the reason why monoaminosilane is selected for the first gas G1 is that the monoaminosilane has a relatively high electronegativity and has a polar molecular structure, so that chemisorption can be performed relatively easily. Because of that.
  • the reaction precursor layer Ly1 formed by the molecules of the first gas G1 adhering to the surface of the wafer W is close to a monomolecular layer (single layer) because the adhesion is chemical adsorption.
  • the smaller the amino group (R) of the monoaminosilane the smaller the molecular structure of the molecules adsorbed on the surface of the wafer W, so that the steric hindrance due to the size of the molecules is reduced.
  • the molecules can be uniformly adsorbed on the surface of the wafer W, and the layer Ly1 can be formed with a uniform film thickness on the surface of the wafer W.
  • the layer Ly1 can be conformally formed with a uniform film thickness on the surface of the wafer W without depending on the pattern density of the wafer W.
  • the silicon reaction precursor (layer Ly1) is placed on the mask MK1 along the atomic layer on the surface of the mask MK1 in step ST3a. It is formed.
  • step ST3b subsequent to step ST3a, the space in the processing container 12 is purged. Specifically, the first gas G1 supplied in step ST3a is exhausted.
  • an inert gas such as a nitrogen gas or a rare gas (eg, Ar gas) may be supplied to the processing container 12 as a purge gas. That is, the purge in the process ST3b may be either a gas purge for flowing an inert gas into the processing container 12 or a purge by evacuation.
  • the reaction precursor layer Ly1 is an extremely thin monomolecular layer.
  • step ST3c subsequent to step ST3b, as shown in part (b) of FIG. 5, plasma P1 of the second gas is generated in the processing container 12.
  • the second gas includes a gas containing oxygen atoms and carbon atoms, and may include, for example, carbon dioxide gas.
  • the temperature of the wafer W when the second gas plasma P1 is generated is not less than 0 degrees Celsius and not more than the glass transition temperature of the material included in the mask MK1 (for example, not more than 200 degrees Celsius).
  • a second gas containing a gas containing oxygen atoms and carbon atoms is supplied into the processing container 12 from a gas source selected from among a plurality of gas sources in the gas source group 40. Then, high frequency power is supplied from the first high frequency power supply 62.
  • the bias power of the second high frequency power supply 64 can be applied. It is also possible to generate plasma using only the second high frequency power supply 64 without using the first high frequency power supply 62.
  • the pressure in the space in the processing container 12 is set to a preset pressure. In this way, the plasma P1 of the second gas is generated in the processing container 12.
  • a layer Ly2 (corresponding to the protective film SX) which is a silicon oxide film is formed as a monomolecular layer. Since the carbon radical can function to suppress oxygen erosion to the mask MK1, the silicon oxide film can be stably formed as a protective film on the surface of the mask MK1.
  • the bond energy of the Si—O bond of the silicon oxide film is about 192 [kcal], and various bond types of the organic film forming the mask are a C—C bond, a C—H bond, and a C—F bond. Since it is higher than the respective binding energies (about 50-110 [kcal], about 70-110 [kcal], about 100-120 [kcal]), the silicon oxide film can function as a protective film.
  • the oxygen atoms are combined with the silicon reaction precursor (layer Ly1) provided on the mask MK1, thereby oxidizing the mask MK1.
  • the layer Ly2 of the silicon film can be formed conformally.
  • the second gas contains carbon atoms, erosion of the mask MK1 by oxygen atoms can be suppressed by the carbon atoms. Accordingly, in the sequence SQ1, as in the ALD method, the silicon oxide film layer Ly2 is thinly and uniformly formed on the surface of the wafer W regardless of the density of the mask MK1 by executing the sequence SQ1 once (unit cycle). It can be formed conformally with a sufficient film thickness.
  • step ST3d subsequent to step ST3c, the space in the processing container 12 is purged. Specifically, the second gas supplied in step ST3c is exhausted.
  • an inert gas such as nitrogen gas or a rare gas (eg, Ar) may be supplied to the processing container 12 as a purge gas.
  • the purge in step ST3d may be either a gas purge for flowing an inert gas into the processing container 12 or a purge by evacuation.
  • step ST4 subsequent to sequence SQ1, it is determined whether or not to end execution of sequence SQ1. Specifically, in step ST4, it is determined whether or not the number of executions of the sequence SQ1 has reached a preset number.
  • the determination of the number of executions of the sequence SQ1 is to determine the thickness of the protective film SX formed on the wafer W shown in part (b) of FIG. That is, the film thickness of the protective film SX finally formed on the wafer W is determined by the product of the film thickness of the silicon oxide film formed by executing the sequence SQ1 once (unit cycle) and the number of executions of the sequence SQ1. The thickness can be substantially determined.
  • sequence SQ1 can be set according to the desired thickness of protective film SX formed on wafer W. As described above, the sequence SQ1 is repeatedly executed, so that the protective film SX of the silicon oxide film is conformally formed on the surface of the mask MK1.
  • step ST4 When it is determined in step ST4 that the number of executions of the sequence SQ1 has not reached the preset number (step ST4: NO), the execution of the sequence SQ1 is repeated again. On the other hand, when it is determined in step ST4 that the number of executions of sequence SQ1 has reached the preset number (step ST4: YES), the execution of sequence SQ1 is terminated.
  • a protective film SX that is a silicon oxide film is formed on the surface of the wafer W as shown in FIG. That is, by repeating the sequence SQ1 for a preset number of times, the protective film SX having a preset thickness is conformally formed on the surface of the wafer W in a uniform film regardless of the density of the mask MK1. It is formed. The thickness of the protective film SX provided on the mask MK1 is accurately controlled by repeatedly executing the sequence SQ1.
  • the protective film SX is formed conformally on the silicon compound on the surface of the mask MK1 by a method similar to the ALD method in the series of steps SQ1 and ST4, the protection of the mask MK1 is prevented. While the strength is improved, the protective film SX for protecting the mask MK1 can be formed with a uniform film thickness.
  • the protective film SX formed by the sequence SQ1 and the series of steps ST4 includes a region R1, a region R2, and a region R3, as shown in part (b) of FIG.
  • the region R3 is a region that extends along the side surface of the mask MK1.
  • the region R3 extends from the surface of the antireflection film AL to the lower side of the region R1.
  • Region R1 extends on the upper surface of mask MK1 and on region R3.
  • the region R2 extends between the adjacent regions R3 and on the surface of the antireflection film AL.
  • the sequence SQ1 forms the protective film SX in the same manner as the ALD method. Therefore, the film thicknesses of the region R1, the region R2, and the region R3 are substantially equal to each other regardless of the density of the mask MK1. It becomes the film thickness.
  • step ST5 subsequent to step ST4, the protective film SX is etched (etched back) so as to remove the region R1 and the region R2.
  • anisotropic etching conditions are necessary.
  • step ST ⁇ b> 5 a processing gas containing a fluorocarbon-based gas is supplied into the processing container 12 from a gas source selected from a plurality of gas sources in the gas source group 40. Then, high-frequency power is supplied from the first high-frequency power source 62, high-frequency bias power is supplied from the second high-frequency power source 64, and the exhaust device 50 is operated to preset the pressure in the space in the processing vessel 12. Set to pressure. In this way, a fluorocarbon-based gas plasma is generated.
  • the active species including fluorine in the generated plasma preferentially etch the region R1 and the region R2 by being drawn in the vertical direction by the high frequency bias power.
  • the region R1 and the region R2 are selectively removed, and the mask MS is formed by the remaining region R3.
  • Mask MS and mask MK1 constitute mask MK2 on the surface of antireflection film AL.
  • step ST5 a series of steps of sequence SQ2 to step ST7 is executed.
  • a series of steps from sequence SQ2 to step ST7 is a step of etching the antireflection film AL.
  • Sequence SQ2 is executed once (unit cycle) or more.
  • Sequence SQ2 is a series of precise etching of the antireflection film AL that is not covered by the mask MK2 with a high selection ratio regardless of the density of the mask MK2, by a method similar to the ALE (Atomic Layer Etching) method.
  • Step ST6a fifth step
  • step ST6b ixth step
  • step ST6c seventh step
  • step ST6d epiighth step
  • step ST6a a plasma of a third gas is generated in the processing container 12, and a mixed layer MX containing radicals contained in the plasma is formed on the atomic layer on the surface of the antireflection film AL.
  • a third gas is supplied into the processing container 12, and plasma of the third gas is generated.
  • the third gas is an etchant gas suitable for etching the antireflection film AL containing silicon, and includes a fluorocarbon-based gas and a rare gas, and may be, for example, C x F y / Ar gas.
  • C x F y can be CF 4 .
  • a third gas containing a fluorocarbon-based gas and a rare gas is supplied into the processing container 12 from a gas source selected from among a plurality of gas sources in the gas source group 40. Then, high-frequency power is supplied from the first high-frequency power source 62, high-frequency bias power is supplied from the second high-frequency power source 64, and the exhaust device 50 is operated to preset the pressure in the space in the processing vessel 12. Set to pressure. In this way, the plasma of the third gas is generated in the processing container 12.
  • the plasma of the third gas includes carbon radicals and fluorine radicals.
  • FIG. 6 is a diagram showing the principle of etching in the method (sequence SQ2) shown in FIG.
  • white circles indicate atoms constituting the antireflection film AL
  • black circles indicate radicals
  • “+” surrounded by circles is described later.
  • 4 shows ions of rare gas atoms (for example, ions of Ar atoms) contained in the fourth gas.
  • carbon radicals and fluorine radicals contained in the plasma of the third gas are supplied to the atomic layer on the surface of the antireflection film AL by the process ST6a.
  • the mixed layer MX including the atoms constituting the antireflection film AL, the carbon radicals, and the fluorine radicals is formed in the atomic layer on the surface of the antireflection film AL (step (a) in FIG. 6). (See also part (c) in FIG. 3).
  • step ST6a fluorine radicals and carbon radicals are supplied to the atomic layer on the surface of the antireflection film AL, and both radicals are contained in the atomic layer on the surface.
  • a mixed layer MX can be formed.
  • Si of the mask MS included in the mask MK2 and carbon radicals included in the plasma of the third gas function as a protective film. Further, the adjustment of the fluorine radical amount can be controlled by a DC voltage from the power source 70.
  • step ST6b subsequent to step ST6a, the space in the processing container 12 is purged. Specifically, the third gas supplied in step ST6a is exhausted.
  • an inert gas such as nitrogen gas or a rare gas (eg, Ar gas) may be supplied to the processing container 12 as a purge gas.
  • the purge in step ST6b may be either a gas purge for flowing an inert gas into the processing container 12 or a purge by evacuation.
  • a plasma of a fourth gas is generated in the processing container 12, and a bias voltage is applied to the plasma to remove the mixed layer MX.
  • the fourth gas includes a rare gas, and may include, for example, Ar gas.
  • a fourth gas containing a rare gas (for example, Ar gas) is supplied from a gas source selected from among a plurality of gas sources in the gas source group 40 into the processing container 12, and is supplied from the first high-frequency power source 62.
  • High-frequency power is supplied, high-frequency bias power is supplied from the second high-frequency power supply 64, and the pressure in the space in the processing container 12 is set to a preset pressure by operating the exhaust device 50.
  • the plasma of the fourth gas is generated in the processing container 12.
  • the ions of the atoms of the fourth gas in the generated plasma collide with the mixed layer MX on the surface of the antireflection film AL by being drawn in the vertical direction by the high frequency bias power, and the mixed layer Supply energy to MX.
  • energy is supplied to the mixed layer MX formed on the surface of the antireflection film AL via ions of the fourth gas atom by the process ST6c, and the energy is reflected by this energy.
  • the mixed layer MX can be removed from the prevention film AL.
  • step ST6c since the fourth gas contains a rare gas, in step ST6c, the mixed layer MX formed on the surface of the antireflection film AL has the surface subjected to the energy received by the rare gas plasma by the bias voltage. Can be removed.
  • step ST6d subsequent to step ST6c, the space in the processing container 12 is purged. Specifically, the fourth gas supplied in step ST6c is exhausted.
  • an inert gas such as nitrogen gas or a rare gas (eg, Ar gas) may be supplied to the processing container 12 as a purge gas.
  • the purge in step ST6d may be either a gas purge for flowing an inert gas into the processing container 12 or a purge by evacuation.
  • the purge performed in step ST6c the atoms constituting the mixed layer MX on the surface of the antireflection film AL and excess ions contained in the plasma of the fourth gas ( For example, ions of Ar atoms) can be sufficiently removed.
  • step ST7 subsequent to sequence SQ2, it is determined whether or not to end execution of sequence SQ2. Specifically, in step ST7, it is determined whether or not the number of executions of the sequence SQ2 has reached a preset number. The determination of the number of executions of the sequence SQ2 is to determine the degree of etching (depth) for the antireflection film AL. The sequence SQ2 can be repeatedly executed so as to etch the antireflection film AL up to the surface of the organic film OL.
  • the execution of the sequence SQ2 is performed so that the product of the thickness of the antireflection film AL etched by the execution of the sequence SQ2 once (unit cycle) and the number of executions of the sequence SQ2 is the total thickness of the antireflection film AL itself.
  • the number of times can be determined. Therefore, the number of executions of the sequence SQ2 can be set according to the thickness of the antireflection film AL.
  • step ST7 If it is determined in step ST7 that the number of executions of the sequence SQ2 has not reached the preset number (step ST7: NO), the execution of the sequence SQ2 is repeated again. On the other hand, when it is determined in step ST7 that the number of executions of sequence SQ2 has reached the preset number (step ST7: YES), the execution of sequence SQ2 is ended. Thereby, as shown in FIG. 4A, the antireflection film AL is etched to form a mask ALM. That is, by repeating the sequence SQ2 for a preset number of times, the antireflection film AL has the same and uniform width as the opening OP2 provided by the mask MK2 regardless of the density of the mask MK2 (the density of the mask MK1). Etching is performed with a wide width, and the selectivity is improved.
  • the mask ALM provides an opening OP3 together with the mask MK2.
  • the mask MK2 (mask MK1) on the mask ALM has a height of HG2 [nm].
  • the opening OP3 has the same width as the width of the opening OP2 provided by the mask MK2 (see the part (c) in FIG. 3).
  • the mask MK2 and the mask ALM constitute a mask MK3 for the organic film OL.
  • the width value (W3 [nm]) of the opening OP3 provided by the mask MK3 including the mask MK2 and the mask ALM is the same as the width value of the opening OP2 provided by the mask MK2.
  • the width of the opening OP3 formed by etching the antireflection film AL is accurately controlled by repeatedly executing the sequence SQ2.
  • the sequence SQ2 for the antireflection film AL is performed.
  • the influence on the shape (LWR and LER) of the mask MK2 due to the etching can be reduced.
  • the width of the opening OP3 formed by the etching can also reduce the influence of the etching on the sequence SQ2, and the density of the mask MK2 (the density of the mask MK1) ) Can also be reduced.
  • a series of steps SQ2 to ST7 is performed after the step of conformally forming the silicon oxide film (region R3 (mask MS) of the protective film SX) on the surface of the mask MK1 (in step ST5).
  • the antireflection film AL is performed by repeatedly executing the sequence SQ2 using the mask MK1 (mask MK2) on which the mask MS is formed and removing the antireflection film AL for each atomic layer. This is a process for precisely etching. Therefore, in a series of steps from sequence SQ2 to step ST7, the antireflection film AL can be removed for each atomic layer by a method similar to the ALE method.
  • Step ST7 In step ST8 subsequent to YES, the organic film OL is etched.
  • Step ST8 uses the mask MK3 (second mask) by the plasma generated in the processing container 12 after execution of the sequence SQ1 to step ST7 for performing the etching process on the antireflection film AL (after step ST7: YES).
  • the organic film OL is etched.
  • the mask MK3 is formed from the antireflection film AL in the step of etching the antireflection film AL (sequence SQ1 to step ST7).
  • a processing gas containing nitrogen gas and hydrogen gas is supplied into the processing container 12 from a gas source selected from a plurality of gas sources in the gas source group 40.
  • a gas source selected from a plurality of gas sources in the gas source group 40.
  • a processing gas containing oxygen may be used.
  • high-frequency power is supplied from the first high-frequency power source 62
  • high-frequency bias power is supplied from the second high-frequency power source 64
  • the exhaust device 50 is operated to set the pressure in the space in the processing container 12 to a predetermined pressure. Set.
  • plasma of a processing gas containing nitrogen gas and hydrogen gas is generated. Hydrogen radicals, which are active species of hydrogen in the generated plasma, etch the region exposed from the mask MK3 in the entire region of the organic film OL.
  • the organic film OL is etched, so that the opening OP4 having the same width as the width of the opening OP3 provided by the mask MK3 (see FIG. 4A) is formed.
  • a mask OLM is formed from the organic film OL.
  • the mask ALM and the mask OLM constitute a mask MK4 for the etching target layer EL.
  • the value of the width of the opening OP4 provided by the mask MK4 is the same as the value (W4 [nm]) of the width (W3 [nm]) of the opening OP3 provided by the mask MK3.
  • the sequence SQ2 improves the uniformity of the width of the opening OP3 of the mask MK3 regardless of the density of the mask MK3 (the density of the mask MK2), and the shape of the mask MK3 (LWR and LER) is also good.
  • the uniformity of the width of the opening OP4 of the mask MK4 is also improved regardless of the density of the mask MK4 (the density of the mask MK3), and the shape (LWR and LER) of the mask MK4 is improved.
  • the mask MK3 whose shape is maintained and the selection ratio is improved is formed on the organic film OL without depending on the density of the mask.
  • the organic film OL can be etched by the mask MK3 having a good shape, and the organic film OL can be etched well.
  • Wafer (dense) and wafer (sparse) are examples of wafer W.
  • the wafer (dense) is formed with a mask in a dense state
  • the wafer (sparse) is formed with a mask in a sparse state.
  • the antireflection film AL and the organic film OL are etched by normal RIE (Reactive Ion Etching) under the following conditions instead of the series of processes of the steps ST2 to ST8. The following results were obtained.
  • Step ST6a -Pressure value in the processing container 12 in the process ST6a [mTorr]: 30 [mTorr]
  • the antireflection film AL and the organic film OL were etched by performing a series of steps ST1 to ST8 on each of the wafer (dense) and the wafer (sparse), and the following results were obtained.
  • ⁇ Conditions> (Secondary electron irradiation: step ST2) -Pressure value in the processing container 12 [mTorr]: 30 [mTorr]
  • the frequency value [MHz] of the first high frequency power supply 62 and the value [W] of the high frequency power 60 [MHz], 100 [W]
  • the frequency value [MHz] and the bias power value [W] of the second high-frequency power source 64 13.56 [MHz], 0 [W] -DC voltage value of power supply 70 [V]: -1000 [V]

Abstract

一実施形態においてウエハWは被エッチング層ELと有機膜OLと反射防止膜ALとマスクMK1とを備え、一実施形態の方法MTは、このウエハWを収容したプラズマ処理装置10の処理容器12内において処理容器12内で発生させたプラズマによりマスクMK1を用いて反射防止膜ALに対しエッチング処理を行う工程を備え、当該工程はマスクMK1の表面に保護膜SXをコンフォーマルに形成する工程ST3a~ST4と、保護膜SXが形成されたマスクMK1を用いて反射防止膜ALを原子層毎に除去することによって反射防止膜ALをエッチングする工程ST6a~ST7とを備える。

Description

被処理体を処理する方法
本発明の実施形態は、被処理体を処理する方法に関するものであり、特にマスクの作成を含む方法に関するものである。
半導体の微細化に伴い、次世代のリソグラフィ技術においては、現在の最先端デバイスの製造に使用されているArFエキシマレーザー光(波長:193[nm])よりも波長が一桁短い13.5[nm]のEUV(Extreme Ultra-Violet)光が用いられる。波長の短波長化に伴って光の吸収が大きくなること、および、EUVリソグラフィを用いる世代ではレジストパターン幅が微細化するためレジストパターンのアスペクト比が大きくなりパターン倒れが発生し易くなること等から、EUVリソグラフィ用レジストの膜厚は薄膜化する。具体的にはレジストパターン幅に対して約3以下のアスペクト比が実用レベルとされる。すなわち積層マスクの最上層であるレジスト膜をEUV加工する場合、パターン幅が10[nm]の世代ではレジスト膜の高さは30[nm]程度、パターン幅が7[nm]の世代ではレジスト膜の高さは20[nm]程度となる。
近年の半導体デバイスは、より微細なパターン形成が必要となるので、レジストのラインパターンエッジ形状のゆらぎがデバイス性能へ与える影響が、顕在化している。ラインパターンエッジ形状のラフネス(roughness)は、LWR(Line Width Roughness:ライン幅のばらつき[nm])およびLER(Line Edge Roughness:ライン端の位置のばらつき[nm])を指標として表現される。マスク形状のばらつきの指標であるLERまたはLWRが増加する場合、ゲートのリーク電流や閾値電圧の安定化が妨げられ、ゲート長のゆらぎが引き起こされ、LSI回路内での個々のトランジスタ性能にばらつきが生じ得る。
半導体集積回路では、メモリー、ロジック部等が設けられる面積密度の大きい密パターン領域と、周辺回路部等が設けられる面積密度の小さい疎パターン領域とが、同一ウエハー上に存在する。従って、このような半導体集積回路を製造するためのエッチング工程では、パターンの疎密に関わらず、リソグラフィーで形成された所望のパターン寸法の精度を実現する制御技術が必要となる。パターン形成に係る技術が、特許文献1,2に開示されている。
特許文献1に記載のプラズマエッチング性能強化方法は、プラズマを用いて、エッチマスクにより定めた構造をエッチングすることによって、半導体ウェーハ上の誘電層にボーイングのない特徴部をエッチング形成する方法を提供することを目的としている。特許文献1に記載の方法は、マスクを誘電層上に形成し、保護シリコン含有被覆をマスクの露出面に形成し、マスクおよび保護シリコン含有被覆を介して特徴部をエッチングする。また、他の方法において、当該特徴部は保護シリコン含有被覆を形成する前に部分的にエッチングされる。このように、特許文献1に記載の技術は、プラズマを用いて保護シリコン含有被覆を、レジストマスク上、および、部分的にエッチングされた特徴部の側壁上、に形成するものとなっている。
特許文献2に記載のプラズマエッチング方法は、EUV露光されたレジストを用いてプラズマエッチングするプラズマエッチング方法において、加工寸法のばらつきを抑制できるプラズマエッチング方法を提供することを目的としている。特許文献2に記載の方法は、EUV露光されたレジストと反射防止膜と無機膜と有機膜とを有する多層レジストをマスクとして被エッチング材をプラズマエッチングするプラズマエッチング方法において、反射防止膜をエッチングする前にレジストの表面に堆積膜を堆積させる第一の工程と、第一の工程後にClガスとHBrガスとNガスの混合ガスを用いて反射防止膜上に堆積した堆積膜と反射防止膜をエッチングする第二の工程と、第二の工程後に無機膜をエッチングする第三の工程と、第三の工程後に有機膜をエッチングする第四の工程とを有する。このように、特許文献2の技術は、EUVレジストを用いて加工寸法のばらつきを抑制できる手法として、被エッチング材をエッチングする前にプラズマを用いてレジスト層の表面に堆積膜を堆積させるものとなっている。
特開2008-60566号公報 特開2014-107520号公報
上記のような高微細なパターン形成に用いるEUVリソグラフィ用レジストは、リソグラフィの限界に起因して、従来のArFレジストの膜厚の半分以下の膜厚を有する。従って、このような比較的に薄膜で高微細なマスクパターンを形成する場合、キュア(Cure)工程、反射防止膜をエッチングする工程、および、有機膜をエッチングする工程において、マスクの選択比の向上、LWRおよびLERの抑制、および、パターンの疎密による影響(パターンの疎密によってパターン形状が相違する等)の抑制、が必要となる。
マスクの選択比を向上するための従来の技術としては、反射防止膜のエッチング時に堆積性ガスを用いてマスク上に保護膜を形成する技術がある。しかしながら、この場合、エッチング時における堆積の重合反応に起因するストレスによって、LWRおよびLERが増加し得る。更に、エッチング時における堆積物の付着の程度は、パターン密度に存するので、パターンの疎密によって、堆積物の付着の程度が不均一となり、よって、パターンの疎密による影響が増大し得る。
また、近年では、ALE(Atomic Layer Etching)法と同様の手法を用いて反射防止膜をエッチングする技術が提案されている。この技術では、エッチングに起因するイオン量とラジカル量とが別々に独立制御されるので、堆積膜(ラジカル量)を薄膜(少量)にして堆積させることによって、比較的に低いエネルギによって反射防止膜のエッチングが可能となる。この技術では、レジスト上に薄い保護膜が形成され、反射防止膜が選択的にエッチングされるので、マスク(EUVレジスト)の選択比が向上され得る。更にこの技術では、上記のように堆積膜が薄膜化されるので、パターンの疎密による影響(パターンの疎密によってパターン形状が相違する等)も低減され得る。しかしながら、この技術では、イオンの衝突によって被エッチング層にエネルギが与えられるので、マスク上の保護膜の膜厚が比較的に薄い場合には、保護膜による保護の機能が低下し、レジストスパッタによってLWRおよびLERが増加し得る。
また、特許文献1に記載の技術では、SiFガスおよびHガスのプラズマを用いてシリコン含有膜の保護膜を形成する事は可能である。しかしながら、この技術では、パターンに疎密領域が存在する場合には、パターンの疎密に応じて成膜量にばらつきが生じ得る。
また、特許文献2に記載の技術では、CHFガスおよびClガスのプラズマを用いて有機膜の保護膜を形成する事は可能である。しかしながら、この技術においても、炭素系の重合膜が形成されるので、特にパターンに疎密領域が存在する場合は、パターンの疎密に応じて保護量にばらつきが生じ得る。
以上のように、高詳細なマスクを形成する場合、マスクの選択比の向上、LWRおよびLERの抑制、パターンの疎密による影響の抑制、の全てを実現することが必要となる。
一態様においては、被処理体を処理する方法が提供される。被処理体は、被エッチング層と、該被エッチング層上に設けられた有機膜と、該有機膜上に設けられた反射防止膜と、該反射防止膜上に設けられた第1マスクと、を備える。そして、当該方法は、被処理体が収容されたプラズマ処理装置の処理容器内において、第1マスクの表面に保護膜をコンフォーマル(conformal)に形成する工程(工程aという)と、工程aの実行後に、該保護膜が形成された第1マスクを用いて、処理容器内で発生させたプラズマにより反射防止膜を原子層毎に除去し、該反射防止膜をエッチングする工程(工程bという)と、を備える。
このように、工程aが実行されることによって、マスクの疎密差によらずに、第1マスク上に精度良く制御されたコンフォーマルな膜厚の保護膜が形成され、マスクの形状を維持しつつマスクのエッチングに対する耐性が強化され、工程bが実行されることによって、マスクの選択比が向上され、マスクの形状(LWR(Line Width Roughness)およびLER(Line Edge Roughness))がエッチングによって受ける影響が低減される。
一実施形態においては、工程aの実行前に、処理容器内でプラズマを発生させて処理容器に設けられた平行平板電極の上部電極に負の直流電圧を印可することにより、第1マスクに二次電子を照射する工程(工程cという)を更に備える。このように、保護膜を形成する工程aの実行前において、第1マスクに二次電子を照射するので、保護膜の形成前に第1マスクを改質することができ、後続の工程による第1マスクの損傷を抑制することができる。
一実施形態においては、上部電極の電極板は、シリコンを含有し、工程cは、処理容器内でプラズマを発生させて上部電極に負の直流電圧を印可することにより、電極板からシリコンを放出させて該シリコンを含む酸化シリコン化合物で第1マスクを覆う。このように、工程cにおいて、酸化シリコン化合物が第1マスクを覆うので、後続の工程による第1マスクの損傷を更に抑制できる。
一実施形態においては、工程aは、処理容器内に第1のガスを供給する第1工程と、第1工程の実行後に、処理容器内の空間をパージする第2工程と、第2工程の実行後に、処理容器内において第2のガスのプラズマを生成する第3工程と、第3工程の実行後に、処理容器内の空間をパージする第4工程と、を含む第1シーケンスを繰り返し実行することによって、第1マスクの表面に保護膜をコンフォーマルに形成し、第1工程は、第1のガスのプラズマを生成しない。このように、工程aは、ALD(Atomic Layer Deposition)法と同様の方法によって、第1マスクの表面のシリコン化合物上に保護膜がコンフォーマルに形成されるので、マスクに対する保護の強度が向上されると共に、マスクを保護する保護膜が均一な膜厚で形成できる。
一実施形態においては、第1のガスは、有機含有されたアミノシラン系ガスを含む。このように第1のガスが有機含有されたアミノシラン系ガスを含むので、第1工程によって、シリコンの反応前駆体が第1マスクの表面の原子層に沿って第1マスク上に形成される。
一実施形態において、第1のガスのアミノシラン系ガスは、1~3個のケイ素原子を有するアミノシランを含み得る。第1のガスのアミノシラン系ガスは、1~3個のアミノ基を有するアミノシランを含み得る。このように第1のガスのアミノシラン系ガスには、1~3個のケイ素原子を含むアミノシランを用いることができる。また、第1のガスのアミノシラン系ガスには、1~3個のアミノ基を含むアミノシランを用いることができる。
一実施形態においては、第2のガスは、酸素原子および炭素原子を含有するガスを含む。このように第2のガスが酸素原子を含むので、第3工程において、当該酸素原子が第1マスク上に設けられるシリコンの反応前駆体と結合することによって、第1マスク上に酸化シリコンの保護膜がコンフォーマルに形成され得る。また、第2のガスが炭素原子を含むので、酸素原子による第1マスクに対する浸食が当該炭素原子によって抑制され得る。
一実施形態においては、工程bは、工程aの実行後に、処理容器内において第3のガスのプラズマを生成し、該プラズマに含まれるラジカルを含む混合層を反射防止膜の表面の原子層に形成する第5工程と、第5工程の実行後に、処理容器内の空間をパージする第6工程と、第6工程の実行後に、処理容器内において第4のガスのプラズマを生成し、該プラズマにバイアス電圧を印可して混合層を除去する第7工程と、第7工程の実行後に、処理容器内の空間をパージする第8工程と、を含む第2シーケンスを繰り返し実行し、反射防止膜を原子層毎に除去することによって、該反射防止膜をエッチングする。このように、工程bは、ALE(Atomic Layer Etching)法と同様の方法によって、反射防止膜を原子層毎に除去することができる。
一実施形態においては、第3のガスは、フルオロカーボン系ガスと希ガスとを含む。このように、第3ガスがフルオロカーボン系ガスを含むので、第5工程において、反射防止膜の表面の原子層にフッ素ラジカルおよび炭素ラジカルが供給され、当該表面の原子層に当該両ラジカルを含有する混合層が形成され得る。
一実施形態においては、第4のガスは、希ガスを含む。このように、第4のガスが希ガスを含むので、第7工程において、反射防止膜の表面に形成された混合層は、当該希ガスのプラズマがバイアス電圧によって受けるエネルギによって、当該表面から除去され得る。
一実施形態においては、工程bの実行後に、処理容器内で発生させたプラズマにより、第2マスクを用いて有機膜に対しエッチング処理を行う工程を更に備え、第2マスクは、工程bにおいて、該反射防止膜から形成される。このように、工程a,bの実行によって、マスクの疎密によらずに、形状が維持され選択比が向上されたマスクが有機膜上に形成されるので、このような良好な形状のマスクによる有機膜のエッチングが可能となり、有機膜のエッチングが良好に行える。
以上説明したように、高詳細なマスクを形成する場合、マスクの選択比の向上、LWRおよびLERの抑制、パターンの疎密による影響の抑制、の全てを実現するできる。
図1は、一実施形態の方法を示す流れ図である。 図2は、プラズマ処理装置の一例を示す図である。 図3は、(a)部、(b)部、(c)部を備え、図1に示す各工程の実施前および実施後の被処理体の状態を示す断面図である。 図4は、(a)部、(b)部を備え、図1に示す各工程の実施後の被処理体の状態を示す断面図である。 図5は、図1に示す保護膜を形成するシーケンスにおける保護膜の形成の様子を模式的に示す図である。 図6は、図1に示す方法におけるエッチングの原理を示す図である。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、図面において同一または相当の部分に対しては同一の符号を附すこととする。
以下、図1を参照して、プラズマ処理装置10を用いて実施することができるエッチング方法(方法MT)について説明する。図1は、一実施形態の方法を示す流れ図である。図1に示す一実施形態の方法MTは、被処理体(以下、「ウエハ」ということがある)を処理する方法である。方法MTは、ウエハをエッチングする方法の一例である。一実施形態の方法MTでは、一連の工程を単一のプラズマ処理装置を用いて実行することが可能である。
図2は、プラズマ処理装置の一例を示す図である。図2には、被処理体を処理する方法の種々の実施形態で利用可能なプラズマ処理装置10の断面構造が概略的に示されている。図2に示すように、プラズマ処理装置10は、平行平板の電極を備えるプラズマエッチング装置であり、処理容器12を備えている。処理容器12は、略円筒形状を有している。処理容器12は、例えば、アルミニウムから構成されており、その内壁面には陽極酸化処理が施されている。処理容器12は保安接地されている。
処理容器12の底部上には、略円筒状の支持部14が設けられている。支持部14は、例えば、絶縁材料から構成されている。支持部14を構成する絶縁材料は、石英のように酸素を含み得る。支持部14は、処理容器12内において、処理容器12の底部から鉛直方向に延在している。処理容器12内には、載置台PDが設けられている。載置台PDは、支持部14によって支持されている。
載置台PDは、載置台PDの上面においてウエハWを保持する。載置台PDは、下部電極LEおよび静電チャックESCを有している。下部電極LEは、第1プレート18aおよび第2プレート18bを含んでいる。第1プレート18aおよび第2プレート18bは、例えばアルミアルミニウムといった金属から構成されており、略円盤形状をなしている。第2プレート18bは、第1プレート18a上に設けられており、第1プレート18aに電気的に接続されている。
第2プレート18b上には、静電チャックESCが設けられている。静電チャックESCは、導電膜である電極を、一対の絶縁層の間または一対の絶縁シートの間に配置した構造を有している。静電チャックESCの電極には、直流電源22がスイッチ23を介して電気的に接続されている。静電チャックESCは、直流電源22からの直流電圧により生じるクーロン力等の静電力によりウエハWを吸着する。これにより、静電チャックESCは、ウエハWを保持することができる。
第2プレート18bの周縁部上には、ウエハWのエッジおよび静電チャックESCを囲むようにフォーカスリングFRが配置されている。フォーカスリングFRは、エッチングの均一性を向上させるために設けられている。フォーカスリングFRは、エッチング対象の膜の材料によって適宜選択される材料から構成されており、例えば、石英から構成され得る。
第2プレート18bの内部には、冷媒流路24が設けられている。冷媒流路24は、温調機構を構成している。冷媒流路24には、処理容器12の外部に設けられたチラーユニット(図示略)から配管26aを介して冷媒が供給される。冷媒流路24に供給される冷媒は、配管26bを介してチラーユニットに戻される。このように、冷媒流路24には、冷媒が循環するように供給される。この冷媒の温度を制御することによって、静電チャックESCによって支持されたウエハWの温度が制御される。
プラズマ処理装置10には、ガス供給ライン28が設けられている。ガス供給ライン28は、伝熱ガス供給機構からの伝熱ガス、例えばHeガスを、静電チャックESCの上面とウエハWの裏面との間に供給する。
プラズマ処理装置10には、加熱素子であるヒータHTが設けられている。ヒータHTは、例えば、第2プレート18b内に埋め込まれている。ヒータHTには、ヒータ電源HPが接続されている。ヒータ電源HPからヒータHTに電力が供給されることにより、載置台PDの温度が調整され、載置台PD上に載置されるウエハWの温度が調整されるようになっている。なお、ヒータHTは、静電チャックESCに内蔵されていてもよい。
プラズマ処理装置10は、上部電極30を備えている。上部電極30は、載置台PDの上方において、載置台PDと対向配置されている。下部電極LEと上部電極30とは、互いに略平行に設けられており、平行平板電極を構成する。上部電極30と下部電極LEとの間には、ウエハWにプラズマ処理を行うための処理空間Sが提供されている。
上部電極30は、絶縁性遮蔽部材32を介して、処理容器12の上部に支持されている。絶縁性遮蔽部材32は、絶縁材料から構成されており、例えば、石英のように酸素を含み得る。上部電極30は、電極板34および電極支持体36を含み得る。電極板34は処理空間Sに面しており、当該電極板34には複数のガス吐出孔34aが設けられている。電極板34は、一実施形態では、シリコンを含有する。別の実施形態では、電極板34は、酸化シリコンを含有し得る。
電極支持体36は、電極板34を着脱自在に支持するものであり、例えばアルミニウムといった導電性材料から構成され得る。電極支持体36は、水冷構造を有し得る。電極支持体36の内部には、ガス拡散室36aが設けられている。ガス拡散室36aからは、ガス吐出孔34aに連通する複数のガス通流孔36bが下方に延びている。電極支持体36には、ガス拡散室36aに処理ガスを導くガス導入口36cが形成されており、ガス導入口36cには、ガス供給管38が接続されている。
ガス供給管38には、バルブ群42および流量制御器群44を介して、ガスソース群40が接続されている。ガスソース群40は、複数のガスソースを有している。複数のガスソースは、有機含有されたアミノシラン系ガスのソース、フルオロカーボン系ガス(Cガス(x、yは1~10の整数))のソース、酸素原子および炭素原子を有するガス(例えば二酸化炭素ガス等)のソース、窒素ガスのソース、水素含有ガスのソース、および、希ガスのソースを含み得る。フルオロカーボン系ガスとしては、CFガス、Cガス、Cガスといった任意のフルオロカーボン系ガスが用いられ得る。アミノシラン系ガスとしては、アミノ基の数が比較的に少ない分子構造のものが用いられることができ、例えば、モノアミノシラン(H-Si-R(Rは有機を含んでおり置換されていても良いアミノ基))が用いられ得る。また、上記のアミノシラン系ガス(後述の第1のガスG1に含まれるガス)は、1~3個のケイ素原子を有し得るアミノシランを含むことができ、または、1~3個のアミノ基を有するアミノシランを含むことができる。1~3個のケイ素原子を有するアミノシランは、1~3個のアミノ基を有するモノシラン(モノアミノシラン)、1~3個のアミノ基を有するジシラン、または、1~3個のアミノ基を有するトリシランであり得る。さらに、上記のアミノシランは、置換されていてもよいアミノ基を有し得る。さらに、上記のアミノ基は、メチル基、エチル基、プロピル基、および、ブチル基の何れかによって置換され得る。さらに、上記のメチル基、エチル基、プロピル基、または、ブチル基は、ハロゲンによって置換され得る。希ガスとしては、Arガス、Heガスといった任意の希ガスが用いられ得る。
バルブ群42は複数のバルブを含んでおり、流量制御器群44はマスフローコントローラといった複数の流量制御器を含んでいる。ガスソース群40の複数のガスソースのそれぞれは、バルブ群42の対応のバルブおよび流量制御器群44の対応の流量制御器を介して、ガス供給管38に接続されている。したがって、プラズマ処理装置10は、ガスソース群40の複数のガスソースのうち選択された一以上のガスソースからのガスを、個別に調整された流量で、処理容器12内に供給することが可能である。
プラズマ処理装置10では、処理容器12の内壁に沿ってデポシールド46が着脱自在に設けられている。デポシールド46は、支持部14の外周にも設けられている。デポシールド46は、処理容器12にエッチング副生物(デポ)が付着することを防止するものであり、アルミニウム材にY等のセラミックスを被覆することにより構成され得る。デポシールドは、Yの他に、例えば、石英のように酸素を含む材料から構成され得る。
処理容器12の底部側、且つ、支持部14と処理容器12の側壁との間には排気プレート48が設けられている。排気プレート48は、例えば、アルミニウム材にY等のセラミックスを被覆することにより構成され得る。排気プレート48の下方、且つ、処理容器12には、排気口12eが設けられている。排気口12eには、排気管52を介して排気装置50が接続されている。排気装置50は、ターボ分子ポンプなどの真空ポンプを有しており、処理容器12内の空間を所望の真空度まで減圧することができる。処理容器12の側壁にはウエハWの搬入出口12gが設けられており、搬入出口12gはゲートバルブ54により開閉可能となっている。
プラズマ処理装置10は、第1の高周波電源62および第2の高周波電源64を更に備えている。第1の高周波電源62は、プラズマ生成用の第1の高周波電力を発生する電源であり、27~100[MHz]の周波数、一例においては60[MHz]の高周波電力を発生する。また、第1の高周波電源62は、パルス仕様を備えており、周波数5~10[kHz]、Duty50~100%で制御可能である。第1の高周波電源62は、整合器66を介して上部電極30に接続されている。整合器66は、第1の高周波電源62の出力インピーダンスと負荷側(下部電極LE側)の入力インピーダンスを整合させるための回路である。なお、第1の高周波電源62は、整合器66を介して下部電極LEに接続されていてもよい。
第2の高周波電源64は、ウエハWにイオンを引き込むための第2の高周波電力、すなわち高周波バイアス電力を発生する電源であり、400[kHz]~40.68[MHz]の範囲内の周波数、一例においては13.56[MHz]の周波数の高周波バイアス電力を発生する。また、第2の高周波電源64は、パルス仕様を備えており、周波数5~40[kHz]、Duty20~100%で制御可能である。第2の高周波電源64は、整合器68を介して下部電極LEに接続されている。整合器68は、第2の高周波電源64の出力インピーダンスと負荷側(下部電極LE側)の入力インピーダンスを整合させるための回路である。
プラズマ処理装置10は、電源70を更に備えている。電源70は、上部電極30に接続されている。電源70は、処理空間S内に存在する正イオンを電極板34に引き込むための電圧を、上部電極30に印加する。一例においては、電源70は、負の直流電圧を発生する直流電源である。このような電圧が電源70から上部電極30に印加されると、処理空間Sに存在する正イオンが、電極板34に衝突する。これにより、電極板34から二次電子および/またはシリコンが放出される。
一実施形態においては、プラズマ処理装置10は、制御部Cntを更に備え得る。制御部Cntは、プロセッサ、記憶部、入力装置、表示装置等を備えるコンピュータであり、プラズマ処理装置10の各部を制御する。具体的に、制御部Cntは、バルブ群42、流量制御器群44、排気装置50、第1の高周波電源62、整合器66、第2の高周波電源64、整合器68、電源70、ヒータ電源HP、およびチラーユニットに接続されている。
制御部Cntは、入力されたレシピに基づくプログラムに従って動作し、制御信号を送出する。制御部Cntからの制御信号により、ガスソース群40から供給されるガスの選択および流量、排気装置50の排気、第1の高周波電源62および第2の高周波電源64からの電力供給、電源70からの電圧印加、ヒータ電源HPの電力供給、チラーユニットからの冷媒流量および冷媒温度を制御することが可能である。なお、本明細書において開示される被処理体を処理する方法MTの各工程は、制御部Cntによる制御によってプラズマ処理装置10の各部を動作させることにより、実行され得る。
図3の(a)部を参照して、図1に示す方法MTの工程ST1で準備されるウエハWの主要な構成を説明する。図3は、図1に示す各工程の実施前および実施後の被処理体の状態を示す断面図である。
工程ST1において準備されるウエハWは、図3の(a)部に示すように、基板SBと、被エッチング層ELと、有機膜OLと、反射防止膜ALと、マスクMK1(第1マスク)とを備える。被エッチング層ELは、基板SB上に設けられる。被エッチング層ELは、有機膜OLに対して選択的にエッチングされる材料から構成される層であり絶縁膜が用いられる。被エッチング層ELは、例えば、酸化シリコン(SiO)から構成され得る。なお、被エッチング層ELは、多結晶シリコンといった他の材料から構成されることができる。
有機膜OLは、被エッチング層EL上に設けられる。有機膜OLは、炭素を含む層であり、例えば、SOH(スピンオンハードマスク)層である。反射防止膜ALは、シリコン含有の反射防止膜であり、有機膜OL上に設けられる。
マスクMK1は、反射防止膜AL上に設けられる。マスクMK1は、レジスト材料から構成されたレジストマスクであり、フォトリソグラフィ技術によってレジスト層がパターニングされることによって作製される。マスクMK1は、例えば、ArFレジストであり得る。マスクMK1は、反射防止膜ALを部分的に覆っている。マスクMK1は、反射防止膜ALを部分的に露出させる開口OP1を画成している。マスクMK1のパターンは、例えば、ライン・アンド・スペースパターンであるが、平面視において円形の開口を提供するパターン、平面視において楕円形状の開口を提供するパターン等、他の種々の形状のパターンを有し得る。反射防止膜AL上のマスクMK1は、HG1[nm]の値の高さを有する。以下では、マスクMK1の幅(W1[nm])と、マスクMK1が提供する開口OP1の幅(W2[nm])との比が、1:1程度の場合に、マスクが「密」(ウエハ(密))であるといい、1:5程度の場合に、マスクが「疎」(ウエハ(疎))であるという場合がある。
図1に戻って、方法MTについての説明を続ける。以下の説明では、図1と共に、図3、図4、図5を参照して説明する。図3は、図1に示す各工程の実施前および実施後の被処理体の状態を示す断面図である。図4は、図1に示す方法の各工程の実施後の被処理体の状態を示す断面図である。図5は、図1に示す保護膜を形成するシーケンスにおける保護膜の形成の様子を模式的に示す図である。
工程ST1では、図3の(a)部に示すウエハWが準備され、ウエハWがプラズマ処理装置10の処理容器12内に収容され、静電チャックESC上に載置される。工程ST1において図2に示すウエハWとして図3の(a)部に示す上記のウエハWを準備した後に、工程ST2以降の各工程を実行する。
工程ST1に引き続く工程ST2では、ウエハWに二次電子が照射される。工程ST2は、酸化シリコンの保護膜(保護膜SX)をマスクMK1にコンフォーマル(conformal)に形成するシーケンスSQ1および工程ST4の実行前に、処理容器12内でプラズマを発生させて上部電極30に負の直流電圧を印可することにより、マスクMK1に二次電子を照射する工程である。
以上のように、保護膜SXを形成するシーケンスSQ1~工程ST4の一連の工程の実行前において、マスクMK1に二次電子を照射するので、保護膜SXの形成前にマスクMK1を改質することができ、後続の工程によるマスクMK1の損傷を抑制することができる。
工程ST2の処理内容を具体的説明する。まず、処理容器12内に水素ガスおよび希ガスが供給され、第1の高周波電源62から高周波電力が供給されることによって、処理容器12内にプラズマが生成される。ガスソース群40の複数のガスソースのうち選択したガスソースから水素ガスおよび希ガスを処理容器12内に供給する。従って、処理空間S中の正イオンが上部電極30に引き込まれて、当該正イオンが上部電極30に衝突する。正イオンが上部電極30に衝突することにより、上部電極30からは二次電子が放出される。放出された二次電子がウエハWに照射されることによって、マスクMK1が改質される。さらに、電極板34に正イオンが衝突することによって、電極板34の構成材料であるシリコンが、二次電子と共に放出される。放出されたシリコンは、プラズマに晒されたプラズマ処理装置10の構成部品から放出される酸素と結合する。当該酸素は、例えば、支持部14、絶縁性遮蔽部材32、およびデポシールド46といった部材から放出される。シリコンと酸素の結合により、酸化シリコン化合物が生成され、当該酸化シリコン化合物がウエハW上に堆積してマスクMK1を覆い保護する。このように、マスクMK1に二次電子を照射する工程ST2では、処理容器12内でプラズマを発生させて上部電極30に負の直流電圧を印可することにより、マスクMK1に二次電子を照射すると共に、電極板34からシリコンを放出させて該シリコンを含む酸化シリコン化合物でマスクMK1を覆う。そして、マスクMK1に二次電子を照射し、マスクMK1を酸化シリコン化合物で覆った後に処理容器12内の空間をパージして、工程ST2aに移行する。
以上のように、工程ST2において、酸化シリコン化合物がマスクMK1を覆うので、後続の工程によるマスクMK1の損傷を更に抑制できる。
なお、工程ST2では二次電子の照射による改質や保護膜の形成のため、第2の高周波電源64のバイアス電力を最小限にしてシリコンの放出を抑制してもよい。また、方法MTにおいて工程ST2を除くことも可能である。
工程ST2に引き続き、シーケンスSQ1、工程ST5、シーケンスSQ2、工程ST7(シーケンスSQ1~工程ST7)を順次実行する。シーケンスSQ1~工程ST5の一連の工程は、マスクMK1の表面にシリコン酸化膜の保護膜SXをコンフォーマルに形成する工程であり、シーケンスSQ2~工程ST7の一連の工程は、シーケンスSQ1~工程ST5の一連の工程の実行後に、シリコン酸化膜の保護膜SXが形成されたマスクMK1を用いて反射防止膜ALを原子層毎に除去することによって、反射防止膜ALを精密にエッチングする工程である。このように、シーケンスSQ1~工程ST5の一連の工程が実行されることによって、マスクの疎密差によらずに、マスク上に精度良く制御されたコンフォーマルな膜厚の保護膜SXが形成され、マスクの形状を維持しつつマスクのエッチングに対する耐性が強化され、また、シーケンスSQ2~工程ST7の一連の工程が実行されることによって、マスクの選択比が向上され、マスクの形状(LWR(Line Width Roughness)およびLER(Line Edge Roughness))がエッチングによって受ける影響が低減される。
工程ST2に引き続き、シーケンスSQ1(第1シーケンス)を一回(単位サイクル)以上実行する。シーケンスSQ1、工程ST4は、ALD(Atomic Layer Deposition)法と同様の方法によってウエハW上に酸化シリコンの保護膜SXを均一の厚みでコンフォーマルに形成する工程であり、シーケンスSQ1において順次実行される工程ST3a(第1工程)、工程ST3b(第2工程)、工程ST3c(第3工程)、工程ST3d(第4工程)を含む。
工程ST3aは、処理容器12内に第1のガスG1を供給する。具体的には、工程ST3aでは、図5の(a)部に示すように、処理容器12内に、シリコンを含有する第1のガスG1を導入する。第1のガスG1は、有機含有されたアミノシラン系ガスを含む。ガスソース群40の複数のガスソースのうち選択したガスソースから有機含有されたアミノシラン系ガスの第1のガスG1を処理容器12内に供給する。第1のガスG1は、アミノシラン系ガスとして、例えばモノアミノシラン(H-Si-R(Rは有機を含んだアミノ基))が用いられる。工程ST3aでは、第1のガスG1のプラズマを生成しない。
第1のガスG1の分子は、図5の(b)部に示すように、反応前駆体(層Ly1)としてウエハWの表面に付着する。第1のガスG1の分子(モノアミノシラン)は、化学結合に基づく化学吸着によってウエハWの表面に付着するのであり、プラズマは用いられない。工程ST3aでは、ウエハWの温度は、摂氏0度以上且つマスクMK1に含まれる材料のガラス転移温度以下(例えば摂氏200度以下)の程度である。なお、当該温度範囲で化学結合によって表面に付着可能であって且つシリコンを含有するものであれば、モノアミノシラン以外のガスの利用も可能である。
第1のガスG1にモノアミノシランが選択される理由は、モノアミノシランが比較的に高い電気陰性度を有し且つ極性を有する分子構造を有することによって化学吸着が比較的に容易に行われ得る、ということに起因する。第1のガスG1の分子がウエハWの表面に付着することによって形成される反応前駆体の層Ly1は、当該付着が化学吸着であるために単分子層(単層)に近い状態となる。モノアミノシランのアミノ基(R)が小さいほど、ウエハWの表面に吸着される分子の分子構造も小さくなるので、分子の大きさに起因する立体障害が低減され、よって、第1のガスG1の分子がウエハWの表面に均一に吸着でき、層Ly1はウエハWの表面に対し均一な膜厚で形成され得る。ウエハWの表面に対し、層Ly1が、ウエハWのパターン密度に依存せずに、均一な膜厚でコンフォーマルに形成され得る。
以上のように、第1のガスG1が有機を含んだアミノシラン系ガスを含むので、工程ST3aによって、シリコンの反応前駆体(層Ly1)がマスクMK1の表面の原子層に沿ってマスクMK1上に形成される。
工程ST3aに引き続く工程ST3bは、処理容器12内の空間をパージする。具体的には、工程ST3aにおいて供給された第1のガスG1が排気される。工程ST3bでは、パージガスとして窒素ガスまたは希ガス(例えばAr等)ガスといった不活性ガスを処理容器12に供給してもよい。すなわち、工程ST3bのパージは、不活性ガスを処理容器12内に流すガスパージ、または真空引きによるパージの何れであってもよい。工程ST3bでは、ウエハW上に過剰に付着した分子も除去され得る。以上によって、反応前駆体の層Ly1は、極めて薄い単分子層となる。
工程ST3bに引き続く工程ST3cでは、図5の(b)部に示すように、処理容器12内において第2のガスのプラズマP1を生成する。第2のガスは、酸素原子および炭素原子を含有するガスを含み、例えば二酸化炭素ガスを含み得る。工程ST3cにおいて、第2のガスのプラズマP1が生成される際のウエハWの温度は、摂氏0度以上且つマスクMK1に含まれる材料のガラス転移温度以下(例えば摂氏200度以下)である。ガスソース群40の複数のガスソースのうち選択したガスソースから酸素原子および炭素原子を含有するガスを含む第2のガスが処理容器12内に供給する。そして、第1の高周波電源62から高周波電力を供給する。この場合、第2の高周波電源64のバイアス電力を印加することもできる。また、第1の高周波電源62を用いずに第2の高周波電源64のみを用いてプラズマを生成することもできる。排気装置50を動作させることによって処理容器12内の空間の圧力を予め設定された圧力に設定する。このようにして、第2のガスのプラズマP1が処理容器12内において生成される。
図5の(b)部に示すように、第2のガスのプラズマP1が生成されると、酸素の活性種および炭素の活性種、例えば、酸素ラジカル、炭素ラジカルが生成され、図5の(c)部に示すように、シリコン酸化膜である層Ly2(保護膜SXに対応している)が単分子層として形成される。炭素ラジカルは、マスクMK1への酸素浸食を抑制する機能を奏し得るので、シリコン酸化膜が保護膜としてマスクMK1の表面において安定に形成され得る。シリコン酸化膜のSi-O結合の結合エネルギは、192[kcal]程度であり、マスクを形成している有機膜の様々な結合種であるC-C結合、C-H結合、C-F結合それぞれの結合エネルギ(50-110[kcal]程度、70-110[kcal]程度、100-120[kcal]程度)よりも高いので、シリコン酸化膜は、保護膜としての機能を奏し得る。
以上のように、第2のガスが酸素原子を含むので、工程ST3cにおいて、当該酸素原子がマスクMK1上に設けられるシリコンの反応前駆体(層Ly1)と結合することによって、マスクMK1上に酸化シリコン膜の層Ly2がコンフォーマルに形成され得る。また、第2のガスが炭素原子を含むので、酸素原子によるマスクMK1に対する浸食が当該炭素原子によって抑制され得る。従って、シーケンスSQ1においては、ALD法と同様に、1回(単位サイクル)のシーケンスSQ1の実行によって、シリコン酸化膜の層Ly2を、ウエハWの表面上に、マスクMK1の粗密によらず薄く均一な膜厚でコンフォーマルに、形成することができる。
工程ST3cに引き続く工程ST3dでは、処理容器12内の空間をパージする。具体的には、工程ST3cにおいて供給された第2のガスが排気される。工程ST3dでは、パージガスとして窒素ガスまたは希ガス(例えばAr等)といった不活性ガスを処理容器12に供給してもよい。すなわち、工程ST3dのパージは、不活性ガスを処理容器12内に流すガスパージ、または真空引きによるパージの何れであってもよい。
シーケンスSQ1に引き続く工程ST4では、シーケンスSQ1の実行を終了するか否かを判定する。具体的には、工程ST4では、シーケンスSQ1の実行回数が予め設定された回数に達したか否かを判定する。シーケンスSQ1の実行回数の決定は、図3の(b)部に示すウエハW上に形成される保護膜SXの膜の厚みを決定することである。すなわち、1回(単位サイクル)のシーケンスSQ1の実行によって形成されるシリコン酸化膜の膜厚とシーケンスSQ1の実行回数との積によって、最終的にウエハW上に形成される保護膜SXの膜の厚みが実質的に決定され得る。したがって、ウエハW上に形成される保護膜SXの所望の厚みに応じて、シーケンスSQ1の実行回数が設定され得る。このように、シーケンスSQ1が繰り返し実行されることによって、マスクMK1の表面にシリコン酸化膜の保護膜SXがコンフォーマルに形成される。
工程ST4においてシーケンスSQ1の実行回数が予め設定された回数に達していないと判定される場合には(工程ST4:NO)、シーケンスSQ1の実行が再び繰り返される。一方、工程ST4においてシーケンスSQ1の実行回数が予め設定された回数に達していると判定される場合には(工程ST4:YES)、シーケンスSQ1の実行が終了される。これによって、図3の(b)部に示すように、ウエハWの表面上にシリコン酸化膜である保護膜SXが形成される。すなわち、シーケンスSQ1が予め設定された回数だけ繰り返されることによって、予め設定された膜厚を有する保護膜SXが、マスクMK1の粗密によらず均一の膜みでコンフォーマルに、ウエハWの表面に形成される。マスクMK1上に設ける保護膜SXの膜の厚みは、シーケンスSQ1を繰り返し実行することによって、精度良く制御される。
以上のように、シーケンスSQ1および工程ST4の一連の工程は、ALD法と同様の方法によって、マスクMK1の表面のシリコン化合物上に保護膜SXがコンフォーマルに形成されるので、マスクMK1に対する保護の強度が向上されると共に、マスクMK1を保護する保護膜SXが均一な膜厚で形成できる。
シーケンスSQ1および工程ST4の一連の工程によって形成された保護膜SXは、図3の(b)部に示すように、領域R1、領域R2および領域R3を含む。領域R3は、マスクMK1の側面上で当該側面に沿って延在する領域である。領域R3は、反射防止膜ALの表面から領域R1の下側まで延在している。領域R1は、マスクMK1の上面の上および領域R3上で延在している。領域R2は、隣接する領域R3の間、且つ、反射防止膜ALの表面上で延在している。上述したように、シーケンスSQ1は、ALD法と同様に保護膜SXを形成するので、マスクMK1の粗密によらずに、領域R1、領域R2、および領域R3のそれぞれの膜厚は、互いに略等しい膜厚となる。
工程ST4に引き続く工程ST5では、領域R1および領域R2を除去するように、保護膜SXをエッチング(エッチバック)する。領域R1および領域R2の除去のためには、異方性のエッチング条件が必要である。このため、工程ST5では、ガスソース群40の複数のガスソースのうち選択したガスソースからフルオロカーボン系ガスを含む処理ガスを処理容器12内に供給する。そして、第1の高周波電源62から高周波電力を供給し、第2の高周波電源64から高周波バイアス電力を供給し、排気装置50を動作させることによって処理容器12内の空間の圧力を予め設定された圧力に設定する。このようにして、フルオロカーボン系ガスのプラズマが生成される。生成されたプラズマ中のフッ素を含む活性種は、高周波バイアス電力による鉛直方向への引き込みによって、領域R1および領域R2を優先的にエッチングする。この結果、図3の(c)部に示すように、領域R1および領域R2が選択的に除去され、残された領域R3によってマスクMSが形成される。マスクMSとマスクMK1とは、反射防止膜ALの表面上のマスクMK2を構成する。
工程ST5に引き続き、シーケンスSQ2~工程ST7の一連の工程を実行する。シーケンスSQ2~工程ST7の一連の工程は、反射防止膜ALをエッチングする工程である。
まず、工程ST5に引き続きシーケンスSQ2(第2シーケンス)を一回(単位サイクル)以上実行する。シーケンスSQ2は、ALE(Atomic Layer Etching)法と同様の方法によって、反射防止膜ALのうちマスクMK2で覆われていない領域を、マスクMK2の疎密によらず高選択比で精密にエッチングする一連の工程であり、シーケンスSQ2において順次実行される工程ST6a(第5工程)、工程ST6b(第6工程)、工程ST6c(第7工程)、工程ST6d(第8工程)を含む。
工程ST6aは、処理容器12内において第3のガスのプラズマを生成し、このプラズマに含まれるラジカルを含む混合層MXを反射防止膜ALの表面の原子層に形成する。工程ST6aにおいて、ウエハWが静電チャックESC上に載置されている状態で、処理容器12内に第3のガスを供給し、当該第3のガスのプラズマを生成する。第3のガスは、シリコンを含有する反射防止膜ALのエッチングに適したエッチャントガスであり、フルオロカーボン系ガスと希ガスとを含み、例えばC/Arガスであり得る。CはCFであり得る。具体的には、ガスソース群40の複数のガスソースのうち選択したガスソースからフルオロカーボン系ガスと希ガスとを含む第3のガスを処理容器12内に供給する。そして、第1の高周波電源62から高周波電力を供給し、第2の高周波電源64から高周波バイアス電力を供給し、排気装置50を動作させることによって処理容器12内の空間の圧力を予め設定された圧力に設定する。このようにして、第3のガスのプラズマが処理容器12内において生成される。第3のガスのプラズマは炭素ラジカルおよびフッ素ラジカルを含む。
図6は、図1に示す方法(シーケンスSQ2)におけるエッチングの原理を示す図である。図6において、白抜きの円(白丸)は、反射防止膜ALを構成する原子を示しており、黒塗りの円(黒丸)はラジカルを示しており、円で囲まれた「+」は後述の第4のガスに含まれる希ガスの原子のイオン(例えばAr原子のイオン)を示している。図6の(a)部に示すように、工程ST6aによって、第3のガスのプラズマに含まれる炭素ラジカルおよびフッ素ラジカルが、反射防止膜ALの表面の原子層に供給される。このように、工程ST6aによって、反射防止膜ALを構成する原子と炭素ラジカルおよびフッ素ラジカルとを含む混合層MXが、反射防止膜ALの表面の原子層に形成される(図6の(a)部と共に図3の(c)部も参照)。
以上のように、第3ガスがフルオロカーボン系ガスを含むので、工程ST6aにおいて、反射防止膜ALの表面の原子層にフッ素ラジカルおよび炭素ラジカルが供給され、当該表面の原子層に当該両ラジカルを含有する混合層MXが形成され得る。
なお、ArFレジストのマスクMK1においては、マスクMK2に含まれるマスクMSのSiや、第3のガスのプラズマに含まれる炭素ラジカルが、保護膜として機能する。また、フッ素ラジカル量の調整には、電源70による直流電圧によって制御され得る。
工程ST6aに引き続く工程ST6bでは、処理容器12内の空間をパージする。具体的には、工程ST6aにおいて供給された第3のガスが排気される。工程ST6bでは、パージガスとして窒素ガスまたは希ガス(例えばArガス等)といった不活性ガスを処理容器12に供給してもよい。すなわち、工程ST6bのパージは、不活性ガスを処理容器12内に流すガスパージ、または真空引きによるパージの何れであってもよい。
工程ST6bに引き続く工程ST6cにおいて、処理容器12内において第4のガスのプラズマを生成し、該プラズマにバイアス電圧を印可して混合層MXを除去する。第4のガスは、希ガスを含み、例えばArガスを含み得る。具体的には、ガスソース群40の複数のガスソースのうち選択したガスソースから希ガス(例えばArガス)を含む第4のガスが処理容器12内に供給され、第1の高周波電源62から高周波電力が供給され、第2の高周波電源64から高周波バイアス電力が供給され、排気装置50を動作させることによって処理容器12内の空間の圧力が予め設定された圧力に設定される。このようにして、第4のガスのプラズマが処理容器12内において生成される。生成されたプラズマ中の第4のガスの原子のイオン(例えばAr原子のイオン)は、高周波バイアス電力による鉛直方向への引き込みによって、反射防止膜ALの表面の混合層MXに衝突し、混合層MXにエネルギを供給する。図6の(b)部に示すように、工程ST6cによって、反射防止膜ALの表面に形成された混合層MXに第4のガスの原子のイオンを介してエネルギが供給され、このエネルギによって反射防止膜ALから混合層MXが除去され得る。
以上のように、第4のガスが希ガスを含むので、工程ST6cにおいて、反射防止膜ALの表面に形成された混合層MXは、当該希ガスのプラズマがバイアス電圧によって受けるエネルギによって、当該表面から除去され得る。
工程ST6cに引き続く工程ST6dでは、処理容器12内の空間をパージする。具体的には、工程ST6cにおいて供給された第4のガスが排気される。工程ST6dでは、パージガスとして窒素ガスまたは希ガス(例えばArガス等)といった不活性ガスを処理容器12に供給してもよい。すなわち、工程ST6dのパージは、不活性ガスを処理容器12内に流すガスパージ、または真空引きによるパージの何れであってもよい。図6の(c)部に示すように、工程ST6cで行われるパージによって、反射防止膜ALの表面の混合層MXを構成する原子、および、第4のガスのプラズマに含まれる過剰なイオン(例えばAr原子のイオン)も十分に除去され得る。
シーケンスSQ2に引き続く工程ST7では、シーケンスSQ2の実行を終了するか否かを判定する。具体的には、工程ST7では、シーケンスSQ2の実行回数が予め設定された回数に達したか否かを判定する。シーケンスSQ2の実行回数の決定は、反射防止膜ALに対するエッチングの程度(深さ)を決定することである。シーケンスSQ2は、有機膜OLの表面に至るまで反射防止膜ALをエッチングするように、繰り返し実行され得る。すなわち、1回(単位サイクル)のシーケンスSQ2の実行によってエッチングされる反射防止膜ALの厚みとシーケンスSQ2の実行回数との積が反射防止膜AL自体の全厚みとなるように、シーケンスSQ2の実行回数が決定され得る。したがって、反射防止膜ALの厚みに応じて、シーケンスSQ2の実行回数が設定され得る。
工程ST7においてシーケンスSQ2の実行回数が予め設定された回数に達していないと判定される場合には(工程ST7:NO)、シーケンスSQ2の実行が再び繰り返される。一方、工程ST7においてシーケンスSQ2の実行回数が予め設定された回数に達していると判定される場合には(工程ST7:YES)、シーケンスSQ2の実行が終了される。これによって、図4の(a)部に示すように、反射防止膜ALがエッチングされて、マスクALMが形成される。すなわち、シーケンスSQ2が予め設定された回数だけ繰り返されることによって、反射防止膜ALが、マスクMK2の粗密(マスクMK1の疎密)によらずに、マスクMK2が提供する開口OP2の幅と同一および均一な幅でエッチングされ、また、選択比も向上される。
マスクALMは、マスクMK2と共に、開口OP3を提供する。マスクALM上のマスクMK2(マスクMK1)は、HG2[nm]の値の高さを有する。開口OP3は、マスクMK2が提供する開口OP2の幅(図3の(c)部を参照)と同じ幅を備える。マスクMK2とマスクALMとは、有機膜OLに対するマスクMK3を構成する。マスクMK2とマスクALMとからなるマスクMK3が提供する開口OP3の幅の値(W3[nm])は、マスクMK2が提供する開口OP2の幅の値と同じである。反射防止膜ALのエッチングにって形成される開口OP3の幅は、シーケンスSQ2を繰り返し実行することによって精度良く制御される。
また、均一で精度良く制御された膜厚で安定したシリコン酸化膜が工程ST5までの一連の工程で反射防止膜AL上のマスクMK2の側面に形成されているので、反射防止膜ALに対するシーケンスSQ2のエッチングによってマスクMK2の形状(LWRおよびLER)が受ける影響を低減できる。このようにマスクMK2の形状がシーケンスSQ2のエッチングによって受ける影響を低減できるので、エッチングによって形成される開口OP3の幅も、シーケンスSQ2のエッチングによる影響を低減でき、マスクMK2の疎密(マスクMK1の疎密)による影響も低減できる。
以上のように、シーケンスSQ2~工程ST7の一連の工程は、マスクMK1の表面にシリコン酸化膜(保護膜SXの領域R3(マスクMS))をコンフォーマルに形成する工程の実行後(工程ST5の実行後)に行われる工程であって、マスクMSが形成されたマスクMK1(マスクMK2)を用いてシーケンスSQ2を繰り返し実行して反射防止膜ALを原子層毎に除去することによって反射防止膜ALを精密にエッチングする工程である。従って、シーケンスSQ2~工程ST7の一連の工程は、ALE法と同様の方法によって、反射防止膜ALを原子層毎に除去することができる。
工程ST7:YESに引き続く工程ST8では、有機膜OLをエッチングする。工程ST8は、反射防止膜ALに対するエッチング処理を行うシーケンスSQ1~工程ST7の実行後に(工程ST7:YESの後に)、処理容器12内で発生させたプラズマによって、マスクMK3(第2マスク)を用いて有機膜OLに対しエッチング処理を行う工程である。マスクMK3は、反射防止膜ALをエッチングする工程(シーケンスSQ1~工程ST7)において、反射防止膜ALから形成される。
工程ST8の処理を具体的に説明する。まず、ガスソース群40の複数のガスソースのうち選択したガスソースから窒素ガスと水素ガスとを含む処理ガスを処理容器12内に供給する。当該ガスとしては、酸素を含む処理ガスを用いてもよい。そして、第1の高周波電源62から高周波電力を供給し、第2の高周波電源64から高周波バイアス電力を供給し、排気装置50を動作させることによって処理容器12内の空間の圧力を所定の圧力に設定する。これによって、窒素ガスと水素ガスとを含む処理ガスのプラズマが生成される。生成されたプラズマ中の水素の活性種である水素ラジカルは、有機膜OLの全領域のうちマスクMK3から露出した領域をエッチングする。以上により、図4の(b)部に示すように、有機膜OLがエッチングされて、マスクMK3が提供する開口OP3の幅(図4の(a)部を参照)と同じ幅の開口OP4を有するマスクOLMが有機膜OLから形成される。マスクALMとマスクOLMとは、被エッチング層ELに対するマスクMK4を構成する。マスクMK4が提供する開口OP4の幅の値は、マスクMK3が提供する開口OP3の幅(W3[nm])の値(W4[nm])と同じである。シーケンスSQ2によってマスクMK3の開口OP3の幅の均一性がマスクMK3の疎密(マスクMK2の疎密)によらずに向上されており、また、マスクMK3の形状(LWRおよびLER)も良好であるので、マスクMK4の開口OP4の幅の均一性もマスクMK4の疎密(マスクMK3の疎密)によらずに向上され、また、マスクMK4の形状(LWRおよびLER)も良好となる。
以上のように、工程ST2~ST7の一連の工程の実行によって、マスクの疎密によらずに、形状が維持され選択比が向上されたマスクMK3が有機膜OL上に形成されるので、このような良好な形状のマスクMK3による有機膜OLのエッチングが可能となり、有機膜OLのエッチングが良好に行える。
以下、方法MTの評価のために、プラズマ処理装置10を用いて行った実験について説明する。下記の構成を備えたウエハ(密)およびウエハ(疎)のそれぞれに対し実験が行われた。ウエハ(密)およびウエハ(疎)は、ウエハWの実施例である。ウエハ(密)は、マスクが密状態で形成されており、ウエハ(疎)は、マスクが疎状態で形成されている。
<ウエハ(密)>
・マスクMK1のマスク幅の値(W1[nm])と開口OP1の幅の値(W2[nm])との比(W1:W2):1対1(1:1)
・マスクMK1のマスク高の値(HG1[nm]):40[nm]
・マスクMK1の開口OP1の幅の値(W2[nm]):45.0[nm]
<ウエハ(疎)>
・マスクMK1のマスク幅の値(W1[nm])と開口OP1の幅の値(W2[nm])との比(W1:W2):1対5(1:5)
・マスクMK1のマスク高の値(HG1[nm]):40[nm]
・マスクMK1の開口OP1の幅の値(W2[nm]):225[nm]
ウエハ(密)およびウエハ(疎)のそれぞれに対し、工程ST2~ST8の一連の処理に替えて、下記の条件による通常のRIE(Reactive Ion Etching)によって反射防止膜ALおよび有機膜OLのエッチングを行い、下記の結果を得た。
<条件>
(反射防止膜ALのエッチング)
・処理容器12内の圧力の値[mTorr]:15[mTorr]
・第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、400[W]
・第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、100[W]
・処理ガス:CFガス
・処理ガスの流量[sccm]:150[sccm]
・処理時間[s]:30[s]
(有機膜OLのエッチング)
・処理容器12内の圧力の値[mTorr]:20[mTorr]
・第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、1000[W]
・第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、200[W]
・処理ガス:N/Hガス
・処理ガスの流量[sccm]:(Nガス)200[sccm]、(Hガス)200[sccm]
・処理時間[s]:40[s]
ウエハ(密)およびウエハ(疎)のそれぞれに対し、工程ST1~ST8の一連の処理において、工程ST2~ST5の一連の処理を行わずに工程ST1、シーケンスSQ2(工程ST6a~ST6d)、工程ST7および工程ST8のみを行うことによって、反射防止膜ALおよび有機膜OLのエッチングを行い、下記の結果を得た。
<条件>
(第1のガスを供給:工程ST6a)
・工程ST6aにおける処理容器12内の圧力の値[mTorr]:30[mTorr]
・工程ST6aにおける第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、100[W]
・工程ST6aにおける第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、0[W]
・電源70の直流電圧の値[V]:-1000[V]
・工程ST6aにおける処理ガス:CF/Arガス
・工程ST6aにおける処理ガスの流量[sccm]:(CFガス)300[sccm]、(Arガス)300[sccm]
・工程ST6aにおける処理時間[s]:10[s]
(第2のガスのプラズマを生成:工程ST6c)
・工程ST6cにおける処理容器12内の圧力の値[mTorr]:30[mTorr]
・工程ST6cにおける第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、100[W]
・工程ST6cにおける第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、30[W]
・工程ST6cにおける処理ガス:Arガス
・工程ST6cにおける処理ガスの流量[sccm]:300[sccm]
・処理時間[s]:25[s]
(シーケンスSQ2の終了の判定:工程S7)
・シーケンスSQ2の繰り返し回数:30回
(有機膜OLをエッチング:工程ST8)
・処理容器12内の圧力の値[mTorr]:20[mTorr]
・第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、1000[W]
・第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、200[W]
・処理ガス:N/Hガス
・処理ガスの流量[sccm]:(Nガス)200[sccm]、(Hガス)200[sccm]
・処理時間[s]:45[s]
ウエハ(密)およびウエハ(疎)のそれぞれに対し、工程ST1~ST8の一連の処理を行うことによって、反射防止膜ALおよび有機膜OLのエッチングを行い、下記の結果を得た。
<条件>
(二次電子の照射:工程ST2)
・処理容器12内の圧力の値[mTorr]:30[mTorr]
・第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、100[W]
・第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、0[W]
・電源70の直流電圧の値[V]:-1000[V]
・処理ガス:H/Arガス
・処理ガスの流量[sccm]:(Hガス)60[sccm]、(Arガス)300[sccm]
・処理時間[s]:10[s]
(第1のガスを供給:工程ST3a)
・処理容器12内の圧力の値[mTorr]:500[mTorr]
・第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、0[W]
・第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、0[W]
・処理ガス:有機を含んだアミノシラン系ガス
・処理ガスの流量[sccm]:50[sccm]
・処理時間[s]:15[s]
(第2のガスを供給:工程ST3c)
・処理容器12内の圧力の値[mTorr]:200[mTorr]
・第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、300[W]
・パルス周波数:10[kHz]、50%
・第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、0[W]
・処理ガス:COガス
・処理ガスの流量[sccm]:300[sccm]
・処理時間[s]:5[s]
(シーケンスSQ1の終了の判定:工程S4)
・シーケンスSQ1の繰り返し回数:20回
(エッチバック:工程ST5)
・処理容器12内の圧力の値[mTorr]:50[mTorr]
・第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、300[W]
・第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、150[W]
・電源70の直流電圧の値[V]:0[V]
・処理ガス:CFガス
・処理ガスの流量[sccm]:150[sccm]
・処理時間[s]:4[s]
(第3のガスのプラズマを生成:工程ST6a)
・工程ST6aにおける処理容器12内の圧力の値[mTorr]:30[mTorr]
・工程ST6aにおける第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、100[W]
・工程ST6aにおける第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、0[W]
・電源70の直流電圧の値[V]:-1000[V]
・工程ST6aにおける処理ガス:CF/Arガス
・工程ST6aにおける処理ガスの流量[sccm]:(CFガス)300[sccm]、(Arガス)300[sccm]
・工程ST6aにおける処理時間[s]:10[s]
(第4のガスのプラズマを生成:工程ST6c)
・工程ST6cにおける処理容器12内の圧力の値[mTorr]:30[mTorr]
・工程ST6cにおける第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、100[W]
・工程ST6cにおける第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、0[W]
・電源70の直流電圧の値[V]:0[V]
・工程ST6cにおける処理ガス:Arガス
・工程ST6cにおける処理ガスの流量[sccm]:300[sccm]
・処理時間[s]:25[s]
(シーケンスSQ2の終了の判定:工程S7)
・シーケンスSQ2の繰り返し回数:30回
(有機膜OLのエッチング:工程ST8)
・処理容器12内の圧力の値[mTorr]:20[mTorr]
・第1の高周波電源62の周波数の値[MHz]および高周波電力の値[W]:60[MHz]、1000[W]
・第2の高周波電源64の周波数の値[MHz]およびバイアス電力の値[W]:13.56[MHz]、200[W]
・処理ガス:N/Hガス
・処理ガスの流量[sccm]:(Nガス)200[sccm]、(Hガス)200[sccm]
・処理時間[s]:45[s]
以上、好適な実施の形態において本発明の原理を図示し説明してきたが、本発明は、そのような原理から逸脱することなく配置および詳細において変更され得ることは、当業者によって認識される。本発明は、本実施の形態に開示された特定の構成に限定されるものではない。したがって、特許請求の範囲およびその精神の範囲から来る全ての修正および変更に権利を請求する。
10…プラズマ処理装置、12…処理容器、12e…排気口、12g…搬入出口、14…支持部、18a…第1プレート、18b…第2プレート、22…直流電源、23…スイッチ、24…冷媒流路、26a…配管、26b…配管、28…ガス供給ライン、30…上部電極、32…絶縁性遮蔽部材、34…電極板、34a…ガス吐出孔、36…電極支持体、36a…ガス拡散室、36b…ガス通流孔、36c…ガス導入口、38…ガス供給管、40…ガスソース群、42…バルブ群、44…流量制御器群、46…デポシールド、48…排気プレート、50…排気装置、52…排気管、54…ゲートバルブ、62…第1の高周波電源、64…第2の高周波電源、66…整合器、68…整合器、70…電源、AL…反射防止膜、ALM…マスク、Cnt…制御部、EL…被エッチング層、ESC…静電チャック、FR…フォーカスリング、G1…第1のガス、HP…ヒータ電源、HT…ヒータ、LE…下部電極、Ly1…層、Ly2…層、MK1…マスク、MK2…マスク、MK3…マスク、MK4…マスク、MS…マスク、OL…有機膜、OLM…マスク、OP1…開口、OP2…開口、OP3…開口、OP4…開口、P1…プラズマ、PD…載置台、R1…領域、R2…領域、R3…領域、S…処理空間、SB…基板、SX…保護膜、W…ウエハ。

Claims (12)

  1.  被処理体を処理する方法であって、
     前記被処理体は、被エッチング層と、該被エッチング層上に設けられた有機膜と、該有機膜上に設けられた反射防止膜と、該反射防止膜上に設けられた第1マスクと、を備え、
     当該方法は、
      前記被処理体が収容されたプラズマ処理装置の処理容器内において、前記第1マスクの表面に保護膜をコンフォーマルに形成する工程と、
      前記保護膜をコンフォーマルに形成する前記工程の実行後に、該保護膜が形成された前記第1マスクを用いて、前記処理容器内で発生させたプラズマにより前記反射防止膜を原子層毎に除去し、該反射防止膜をエッチングする工程と、
     を備える、
     方法。
  2.  前記保護膜をコンフォーマルに形成する前記工程の実行前に、前記処理容器内でプラズマを発生させて前記処理容器に設けられた平行平板電極の上部電極に負の直流電圧を印可することにより、前記第1マスクに二次電子を照射する工程を更に備える、
     請求項1に記載の方法。
  3.  前記上部電極の電極板は、シリコンを含有し、
     前記第1マスクに二次電子を照射する前記工程は、前記処理容器内でプラズマを発生させて前記上部電極に負の直流電圧を印可することにより、前記電極板からシリコンを放出させて該シリコンを含む酸化シリコン化合物で前記第1マスクを覆う、
     請求項2に記載の方法。
  4.  前記保護膜をコンフォーマルに形成する前記工程は、
      前記処理容器内に第1のガスを供給する第1工程と、
      前記第1工程の実行後に、前記処理容器内の空間をパージする第2工程と、
      前記第2工程の実行後に、前記処理容器内において第2のガスのプラズマを生成する第3工程と、
      前記第3工程の実行後に、前記処理容器内の空間をパージする第4工程と、
     を含む第1シーケンスを繰り返し実行することによって、前記第1マスクの前記表面に前記保護膜をコンフォーマルに形成し、
     前記第1工程は、前記第1のガスのプラズマを生成しない、
     請求項1~3の何れか一項に記載の方法。
  5.  前記第1のガスは、アミノシラン系ガスを含む、
     請求項4に記載の方法。
  6.  前記第1のガスのアミノシラン系ガスは、1~3個のケイ素原子を有するアミノシランを含む、請求項5に記載の方法。
  7.  前記第1のガスのアミノシラン系ガスは、1~3個のアミノ基を有するアミノシランを含む、請求項5または請求項6に記載の方法。
  8.  前記第2のガスは、酸素原子および炭素原子を含有するガスを含む、
     請求項4~7の何れか一項に記載の方法。
  9.  前記反射防止膜をエッチングする前記工程は、
     前記保護膜をコンフォーマルに形成する前記工程の実行後に、前記処理容器内において第3のガスのプラズマを生成し、該プラズマに含まれるラジカルを含む混合層を前記反射防止膜の表面の原子層に形成する第5工程と、
     前記第5工程の実行後に、前記処理容器内の空間をパージする第6工程と、
     前記第6工程の実行後に、前記処理容器内において第4のガスのプラズマを生成し、該プラズマにバイアス電圧を印可して、前記混合層を除去する第7工程と、
     前記第7工程の実行後に、前記処理容器内の空間をパージする第8工程と、
     を含む第2シーケンスを繰り返し実行し、前記反射防止膜を原子層毎に除去することによって、該反射防止膜をエッチングする、
     請求項1~8の何れか一項に記載の方法。
  10.  前記第3のガスは、フルオロカーボン系ガスと希ガスとを含む、
     請求項9に記載の方法。
  11.  前記第4のガスは、希ガスを含む、
     請求項9または請求項10に記載の方法。
  12.  前記反射防止膜をエッチングする前記工程の実行後に、前記処理容器内で発生させたプラズマにより、第2マスクを用いて前記有機膜に対しエッチング処理を行う工程を更に備え、
     前記第2マスクは、前記反射防止膜をエッチングする前記工程において、前記第1マスクと該反射防止膜とから形成される、
     請求項1~11の何れか一項に記載の方法。
PCT/JP2017/012407 2016-03-29 2017-03-27 被処理体を処理する方法 WO2017170411A1 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020187030839A KR102362282B1 (ko) 2016-03-29 2017-03-27 피처리체를 처리하는 방법
US16/089,024 US10714340B2 (en) 2016-03-29 2017-03-27 Method for processing workpiece
CN201780020010.3A CN108885991B (zh) 2016-03-29 2017-03-27 对被处理物进行处理的方法
KR1020227004299A KR102549308B1 (ko) 2016-03-29 2017-03-27 에칭 장치
US16/898,492 US11244828B2 (en) 2016-03-29 2020-06-11 Method for processing workpiece
US17/560,245 US11823903B2 (en) 2016-03-29 2021-12-22 Method for processing workpiece

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2016065806 2016-03-29
JP2016-065806 2016-03-29
JP2016-147477 2016-07-27
JP2016147477A JP6784530B2 (ja) 2016-03-29 2016-07-27 被処理体を処理する方法

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US16/089,024 A-371-Of-International US10714340B2 (en) 2016-03-29 2017-03-27 Method for processing workpiece
US16/898,492 Continuation US11244828B2 (en) 2016-03-29 2020-06-11 Method for processing workpiece

Publications (1)

Publication Number Publication Date
WO2017170411A1 true WO2017170411A1 (ja) 2017-10-05

Family

ID=59965610

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2017/012407 WO2017170411A1 (ja) 2016-03-29 2017-03-27 被処理体を処理する方法

Country Status (3)

Country Link
US (2) US11244828B2 (ja)
KR (2) KR102549308B1 (ja)
WO (1) WO2017170411A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017170411A1 (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法
JP7437966B2 (ja) * 2020-02-21 2024-02-26 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US11527413B2 (en) * 2021-01-29 2022-12-13 Tokyo Electron Limited Cyclic plasma etch process

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003507880A (ja) * 1999-08-17 2003-02-25 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
JP2012028603A (ja) * 2010-07-26 2012-02-09 Tokyo Electron Ltd プラズマ処理方法および記憶媒体
JP2013178574A (ja) * 2013-05-23 2013-09-09 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法および基板処理装置
JP2014075567A (ja) * 2012-09-13 2014-04-24 Tokyo Electron Ltd 被処理基体を処理する方法、及びプラズマ処理装置
WO2015001965A1 (ja) * 2013-07-04 2015-01-08 ネオケミア株式会社 二酸化炭素投与装置
JP2015026849A (ja) * 2011-09-27 2015-02-05 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated ハロゲン化オルガノアミノシラン前駆体及びそれを含む膜の堆積方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010038972A1 (en) * 1998-11-20 2001-11-08 Christopher F. Lyons Ultra-thin resist shallow trench process using metal hard mask
US6140023A (en) * 1998-12-01 2000-10-31 Advanced Micro Devices, Inc. Method for transferring patterns created by lithography
US6811956B1 (en) * 2002-06-24 2004-11-02 Advanced Micro Devices, Inc. Line edge roughness reduction by plasma treatment before etch
US7169695B2 (en) 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
MY148830A (en) 2006-08-22 2013-06-14 Lam Res Corp Method for plasma etching performance enhancement
WO2008149988A1 (ja) 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
JP2009193989A (ja) * 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
KR101097025B1 (ko) * 2008-03-31 2011-12-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 컴퓨터 판독 가능한 기억 매체
JP5674375B2 (ja) * 2010-08-03 2015-02-25 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
JP2014107520A (ja) 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
EP3032925B1 (en) 2013-08-09 2020-05-13 Tokyo Electron Limited Plasma processing device and plasma processing method
JP6382055B2 (ja) * 2014-10-07 2018-08-29 東京エレクトロン株式会社 被処理体を処理する方法
JP6770848B2 (ja) 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
WO2017170411A1 (ja) * 2016-03-29 2017-10-05 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003507880A (ja) * 1999-08-17 2003-02-25 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
JP2012028603A (ja) * 2010-07-26 2012-02-09 Tokyo Electron Ltd プラズマ処理方法および記憶媒体
JP2015026849A (ja) * 2011-09-27 2015-02-05 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated ハロゲン化オルガノアミノシラン前駆体及びそれを含む膜の堆積方法
JP2014075567A (ja) * 2012-09-13 2014-04-24 Tokyo Electron Ltd 被処理基体を処理する方法、及びプラズマ処理装置
JP2013178574A (ja) * 2013-05-23 2013-09-09 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法および基板処理装置
WO2015001965A1 (ja) * 2013-07-04 2015-01-08 ネオケミア株式会社 二酸化炭素投与装置

Also Published As

Publication number Publication date
KR102362282B1 (ko) 2022-02-11
US20200303181A1 (en) 2020-09-24
KR102549308B1 (ko) 2023-06-30
KR20180128944A (ko) 2018-12-04
KR20220025163A (ko) 2022-03-03
US20220122840A1 (en) 2022-04-21
US11823903B2 (en) 2023-11-21
US11244828B2 (en) 2022-02-08

Similar Documents

Publication Publication Date Title
JP7061653B2 (ja) 被処理体を処理する方法
US10763123B2 (en) Method for processing workpiece
US9911607B2 (en) Method of processing target object
JP6382055B2 (ja) 被処理体を処理する方法
KR102362462B1 (ko) 피처리체를 처리하는 방법
US11823903B2 (en) Method for processing workpiece
JP6537473B2 (ja) 被処理体を処理する方法
KR102461750B1 (ko) 피처리체를 처리하는 방법
KR102531901B1 (ko) 피처리체를 처리하는 방법

Legal Events

Date Code Title Description
NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20187030839

Country of ref document: KR

Kind code of ref document: A

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17774948

Country of ref document: EP

Kind code of ref document: A1

122 Ep: pct application non-entry in european phase

Ref document number: 17774948

Country of ref document: EP

Kind code of ref document: A1