KR100568448B1 - 감소된 불순물을 갖는 고유전막의 제조방법 - Google Patents

감소된 불순물을 갖는 고유전막의 제조방법 Download PDF

Info

Publication number
KR100568448B1
KR100568448B1 KR1020040026690A KR20040026690A KR100568448B1 KR 100568448 B1 KR100568448 B1 KR 100568448B1 KR 1020040026690 A KR1020040026690 A KR 1020040026690A KR 20040026690 A KR20040026690 A KR 20040026690A KR 100568448 B1 KR100568448 B1 KR 100568448B1
Authority
KR
South Korea
Prior art keywords
film
dielectric film
treatment
heat treatment
forming
Prior art date
Application number
KR1020040026690A
Other languages
English (en)
Other versions
KR20050101626A (ko
Inventor
정형석
이종호
임하진
박재언
김윤석
양종호
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040026690A priority Critical patent/KR100568448B1/ko
Priority to US11/107,559 priority patent/US7396777B2/en
Publication of KR20050101626A publication Critical patent/KR20050101626A/ko
Application granted granted Critical
Publication of KR100568448B1 publication Critical patent/KR100568448B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B21/00Common features of fluid actuator systems; Fluid-pressure actuator systems or details thereof, not covered by any other group of this subclass
    • F15B21/14Energy-recuperation means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/20Fluid pressure source, e.g. accumulator or variable axial piston pump
    • F15B2211/205Systems with pumps
    • F15B2211/20507Type of prime mover
    • F15B2211/20515Electric motor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/20Fluid pressure source, e.g. accumulator or variable axial piston pump
    • F15B2211/25Pressure control functions
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B2211/00Circuits for servomotor systems
    • F15B2211/20Fluid pressure source, e.g. accumulator or variable axial piston pump
    • F15B2211/255Flow control functions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Fluid Mechanics (AREA)
  • General Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

감소된 불순물을 갖는 고유전막의 제조방법이 제공된다. 이 방법은 반도체기판 상에 원자층 증착법을 사용하여 제1 유전막 및 제2 유전막으로 이루어진 적층 유전막을 형성하는 것을 구비한다. 상기 제1 유전막은 금속 유전막으로 형성되고, 상기 제2 유전막은 실리콘 산화막 또는 실리콘 산질화막으로 형성된다. 상기 적층 유전막에 대하여 후처리를 수행한다. 상기 적층 유전막을 형성하는 것과 상기 적층 유전막에 대한 후처리를 적어도 1회 반복한다.
ALD, impurity, Hf, silicate

Description

감소된 불순물을 갖는 고유전막의 제조방법{method of fabricating high-k dielectric layer having reduced impurity}
도 1은 본 발명의 실시예들에 의한 게이트 유전막의 제조방법을 설명하기 위한 흐름도이다.
도 2 내지 도 5는 본 발명의 실시예들에 의한 게이트 유전막의 제조방법을 설명하기 위한 단면도들이다.
도 도 6a 및 도 6b는 후처리에 따른 유전막 내 불순물 함량 변화를 나타내는 SIMS(Secondary Ion Mass Spectrometry) 그래프들이다.
도 7a 및 도 7 b는 NMOS 및 PMOS 에서 게이트 유전막에 따른 이동도 특성을 각각 나타낸 그래프들이다.
도 8은 게이트 유전막에 따른 계면 상태 밀도 특성을 나타낸 그래프이다.
도 9a 및 도 9b는 PMOS 및 NMOS 에서 게이트 유전막에 따른 BTI 특성을 각각 나타낸 그래프들이다.
본 발명은 반도체 소자에 사용되는 유전막의 제조방법에 관한 것으로 특히 감소된 불순물을 갖는 고유전막의 제조방법에 관한 것이다.
반도체 소자에 있어서 유전막은 다양한 용도로 사용되고 있으며 대표적으로 모스 전계효과 트랜지스터의 게이트 유전막으로 사용되고 있다. 상기 반도체 소자가 정상적으로 작동하기 위하여는 상기 게이트 유전막이 적절한 캐패시턴스(C)를 유지하여야 한다. 유전막의 캐패시턴스는 C = εㆍA/d (C:캐패시턴스, ε: 유전율, A:유전막의 표면적, d:유전막의 두께)와 같이 표시된다. 즉, 유전막의 캐패시턴스는 유전막의 유전율 및 표면적에 비례하며 두께에 반비례한다. 반도체 소자의 집적도가 높아짐에 따라 상기 반도체 소자를 구성하는 단위 셀의 면적이 감소하게 되며 그로 인해 상기 게이트 유전막의 표면적 또한 감소하게 된다. 따라서, 상기 게이트 유전막의 표면적 감소로 인한 캐패시턴스 감소를 보완하는 것이 필요하다. 이를 위하여는 유전막의 두께를 감소시키거나 유전율이 높은 유전재료를 사용하는 방법이 고려될 수 있다. 그러나, 유전막의 두께를 감소시키는 방법은 두께 감소에 따라 누설전류가 증가된다는 문제점을 갖는다. 따라서, 누설전류를 증가시키지 않으면서 적절한 캐패시턴스를 유지하기 위하여는 높은 유전율을 갖는 유전막의 적용이 요구된다.
반도체 소자의 게이트 유전막으로 적용 가능한 고유전율 유전막으로는 알루미늄 산화막(Al2O3), 하프늄 산화막(HfO2), 지르코늄 산화막(ZrO2 ), 탄탈륨 산화막 (Ta2O5) 및 티타늄 산화막(TiO2)등이 있다. 또한, 초 고유전율을 갖는 유전막으로는 BST막 및 PZT막등이 있다. 그러나, 새로운 유전막의 도입은 단순히 유전율이 높다고 적용가능한 것은 아니며 기존 반도체 소자의 제조공정과의 적합성, 신뢰성 및 생산성등 여러 요소가 종합적으로 고려되어야 한다.
이러한 관점에서 볼때 상술한 고 유전율 유전막들을 게이트 유전막으로 적용하는 경우에는 다음과 같은 문제점들이 발생할 수 있다. BST막, 티타늄 산화막 및 탄탈륨 산화막등은 실리콘 기판과의 높은 반응성으로 인하여 계면특성이 나빠져 누설 전류의 증가 또는 캐리어 이동도(carrier mobility)의 열화등이 발생할 수 있다. 알루미늄 산화막의 경우에는 열적 안정성은 비교적 우수하지만 상대적으로 낮은 유전율로 인하여 그 적용에 한계가 있으며 고정 음전하(negative fixed charge)로 인한 문턱전압의 조절이 어려운 단점을 가지고 있다. 또한, 하프늄 산화막 및 지르코늄 산화막등은 후속공정에 의한 열처리 동안에 결정화되어 누설전류가 증가할 수 있다.
한편, 최근 들어 게이트 산화막으로 사용하기 위한 유망한 후보 재료로써 하프늄 실리케이트막(Hf silicate layer)에 대한 연구가 활발히 진행 중에 있다. 상기 하프늄 실리케이트막은 NMOS 문턱전압과 전기적 수행능력(current performance)이 실리콘 산질화막(SiON)과 동등한 수준을 갖는 등 게이트 산화막으로써 우수한 특성을 갖는다. 상기 하프늄 실리케이트막은 금속 유기 화학기상증착법(metal organo chemical vapor deposition;MOCVD)법 또는 원자층증착법(atomic layer deposition;ALD)법을 적용하여 형성될 수 있다. 그러나, 상기 MOCVD법을 사용하여 상기 하프늄 실리케이트막을 형성하는 경우에는 막의 두께 및 조성의 조절이 어려운 단점이 있다. 반면, 상기 ALD법은 원자층 단위로 박막이 증착되어지기 때문에 막의 두께 제어가 가능하며 저온공정이 가능하다. 이와 관련하여 상기 ALD법을 적용하여 하프늄 산화막과 실리콘 산화막이 교대로 적층된 나노 라미네이트 (nanolaminate)구조를 형성함으로써 하프늄 실리케이트막을 형성하는 방법이 미국특허 제6,627,503호에 "다층 유전체 적층체의 형성방법(Multilayer dielectric stack)이라는 제목으로 개시되어 있다.
그러나, 상기 ALD법은 비교적 저온에서 공정이 수행됨으로 인하여 원료기체로 부터 유입된 탄소(C) 또는 염소(Cl)와 같은 불순물들이 막내에 잔류하는 문제점이 있다. 상기 불순물들은 하프늄 실리케이트막의 특성을 열화시키게 되어 이에 대한 대안이 필요하다.
본 발명이 이루고자 하는 기술적 과제는 원자층 증착법에 의하여 라미네이트 구조를 갖는 고유전막을 제조함에 있어서 불순물 함량을 최소화할 수 있는 고유전막의 제조방법을 제공하는 데 있다.
상기 기술적 과제들을 이루기 위하여 본 발명은 감소된 불순물을 갖는 고유전막의 제조방법을 제공한다. 이 방법은 반도체기판 상에 원자층 증착법을 사용하여 제1 유전막 및 제2 유전막으로 이루어진 적층 유전막을 형성하는 것을 구비한다. 상기 제1 유전막은 금속 유전막으로 형성되고, 상기 제2 유전막은 실리콘 산화막 또는 실리콘 산질화막으로 형성된다. 상기 적층 유전막에 대하여 후처리를 수행한다. 상기 적층 유전막을 형성하는 것과 상기 적층 유전막에 대한 후처리를 적어도 1회 반복함으로써 고유전막(high-k dielectric layer)을 형성한다.
몇몇 실시예들에 의하면, 상기 금속 유전막은 금속 산화막(metal oxide layer) 또는 금속산질화막(metal oxynitride layer)일 수 있다. 바람직하게는 상기 금속 산화막은 하프늄 산화막(HfO2) 또는 지르코늄 산화막(ZrO2)일 수 있으며 상기 금속 산질화막은 하프늄 산질화막(HfON) 또는 지르코늄 산질화막(ZrON)일 수 있다.
다른 실시예들에 의하면, 상기 적층 유전막을 형성하는 것은, 상기 반도체 기판 상에 금속 유전막을 형성하고, 상기 금속 유전막 상에 상기 실리콘 산화막 또는 실리콘 산질화막을 형성하는 것을 포함할 수 있다. 이 경우에, 상기 실리콘 산화막 또는 실리콘 산질화막을 형성하기 전에 상기 금속 유전막에 대하여 후처리를 수행할 수 있다.
또 다른 실시예들에 의하면, 상기 적층 유전막을 형성하는 것은, 상기 반도체기판 상에 상기 실리콘 산화막 또는 실리콘 산질화막으로 형성하고, 상기 실리콘 산화막 또는 상기 실리콘 산질화막 상에 상기 금속 유전막을 형성하는 것을 포함할 수 있다. 이 경우에, 상기 금속 유전막을 형성하기 전에 상기 실리콘 산화막 또는 실리콘 산질화막에 대하여 후처리를 수행할 수 있다.
본 발명의 실시예들에 의하면, 상기 적층 유전막에 대한 후처리, 상기 금속 유전막에 대한 후처리, 또는 상기 실리콘 산화막 또는 실리콘 산질화막에 대한 후처리는 산화처리, 질화처리, 불활성 기체분위기에서의 열처리, 진공 분위기에서의 열처리, 수소 분위기에서의 열처리, 수소 분위기에서의 플라즈마처리 또는 이들의 조합을 통하여 수행될 수 있다.
본 발명의 실시예들에 의하여 형성된 고유전막은 금속 실리케이트막일 수 있으며 이 경우에 상기 금속 실리케이트막은 상기 금속 유전막과 실리콘 산화막 또는 금속 유전막과 실리콘 산질화막이 교대로 적층된 나노 라미네이트 구조를 갖을 수 있다.
이하 첨부한 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명 하기로 한다. 그러나 본 발명은 여기서 설명되어지는 실시예에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되어지는 것이다. 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장되어진 것이다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.
도 1은 본 발명의 실시예들에 의한 게이트 유전막의 제조방법을 설명하기 위한 흐름도이고, 도 2 내지 도 5는 본 발명의 실시예들에 의한 게이트 유전막의 제조방법을 설명하기 위한 단면도들이다.
도 1 및 도 2를 참조하면, 먼저, 반도체기판(100)을 준비한다.(S1) 상기 반도체기판(100)에는 활성영역을 한정하는 소자분리막(102)이 미리 형성될 수 있다. 상기 소자분리막(102)은 공지의 STI(shallow trench isolation) 공정에 의하여 형성될 수 있다.
도 1 및 도 3을 참조하면, 상기 반도체기판(100) 상에 제1 유전막(104a)을 형성한다.(S2) 상기 제1 유전막(104a)은 금속 유전막으로 형성되거나 실리콘 산화막 또는 실리콘 산질화막으로 형성될 수 있다. 이하에서는 상기 제1 유전막(104a)이 금속 유전막으로 형성된 경우에 대하여 설명한다. 상기 금속 유전막은 금속 산화막 또는 금속 산질화막일 수 있다. 상기 금속 산화막은 하프늄 산화막, 하프늄 산질화막일 수 있으며, 상기 금속 산질화막은 하프늄 산질화막 또는 지르코늄 산질화막일수 있다. 본 발명의 실시예들에 있어서, 상기 금속 산화막은 하프늄 산화막으로 형성하는 것이 바람직하다. 상기 제1 유전막(104a)은 ALD법을 사용하여 형성된다. 상기 ALD법은 반응물간의 교차 화학흡착(alternating chemisorption), 표면반응 (surface reaction) 및 부산물의 탈착 (desorption)을 기초로 하여 원자층 단위로 박막을 형성하는 방법이다. 이 방법은 원자층 단위로 박막이 증착되어지기 때문에 막의 두께 제어가 가능하며 저온공정이 가능하다. 이하에서, 상기 하프늄 산화막을 상기 ALD법으로 형성하는 과정을 상세히 설명한다.
먼저, 반응기 내로 상기 반도체기판(100)을 로딩시킨다. 상기 반도체기판 (100)이 로딩된 반응기 내로 제1 기간 동안 하프늄 원료기체를 주입한다. 상기 하프늄 원료기체로는 HfCl4, TEMAH(tetra-ethyl-methyl amino hafnium) 또는 Hf(MMP) 4(Tetra(1-Methoxy2-Methyl2-propoxy)Hf)이 사용될 수 있으며 이에 한정되지 않는다. 상기 반응기 내에 상기 하프늄 원료기체를 주입함에 따라, 상기 반도체기판 (100) 상에 상기 하프늄 원료기체의 화학흡착층이 형성된다. 이후, 제2 기간 동안 상기 반응기 내에 잔류하는 상기 하프늄 원료기체를 배출시킨다. 상기 반응기 내에 잔류하는 하프늄 원료기체를 보다 빨리 배출시키기 위하여 상기 반응기 내로 퍼지기체를 주입할 수 있다. 상기 퍼지기체는 Ar과 같은 불활성기체일 수 있다.
상기 반응기 내에 잔류하는 상기 하프늄 원료기체를 배출시킨 후, 제3 기간 동안 상기 반응기 내로 산화기체를 주입한다. 상기 산화기체는 H2O, H2O2, O3, O2 및 N2O로 이루어진 군에서 선택된 하나일 수 있다. 또한, 상기 산화기체는 플라즈마 처리를 통하여 활성화될 수 있다. 상기 산화기체는 상기 하프늄 원료기체의 화학흡착층과 반응한다. 그 결과, 상기 반도체기판(100) 상에 원자층 하프늄 산화막이 형성된다. 그후, 제4 기간 동안 상기 반응기 내에 잔류하는 상기 산화기체를 배출한다. 상기 반응기 내에 잔류하는 산화기체를 보다 배출하기 위하여 상기 반응기 내로 Ar과 같은 불활성 기체를 주입할 수 있다.
상기 제1 기간 내지 제4 기간 동안 수행되는 하프늄 원료기체의 주입 및 배출, 산화기체의 주입 및 배출을 1 싸이클로 하여 이를 반복함으로써 소정 두께를 갖는 하프늄 산화막을 형성할 수 있다.
상기 금속 유전막(104a)은 그 두께가 증가하는 경우 결정화가 발생할 수 있다. 특히, 하프늄 산화막 또는 지르코늄 산화막은 임계두께 이상으로 형성되는 경우 결정화에 의한 누설전류의 문제가 발생할 수 있다. 따라서, 본 발명의 실시예들에 있어서, 상기 금속 유전막(104a)은 결정화가 발생하는 두께를 고려하여 형성하는 것이 바람직하며, 30Å 이하의 두께를 갖도록 형성하는 것이 바람직하다.
다음으로, 상기 제1 유전막(104a)을 형성한 후에 상기 제1 유전막(104a)에 대한 후처리(106)가 수행될 수 있다.(S3) 이하에서 상기 제1 유전막(104a)에 대한 후처리(106)를 제1 후처리(106)라 칭한다. 상기 제1 후처리(106)는 ALD법을 사용하여 상기 제1 유전막을 형성하는 동안에 상기 제1 유전막(104a) 내에 잔류하는 탄소 또는 염소 등의 불순물들을 제거하기 위하여 수행된다. 상기 제1 후처리(106)는 산화처리, 질화처리, 불활성 기체분위기에서의 열처리, 진공 분위기에서의 열처리, 수소 분위기에서의 열처리, 수소 분위기에서의 플라즈마처리 또는 이들의 조합을 통하여 수행될 수 있다.
도 1 및 도 4를 참조하면, 상기 제1 유전막(104a) 상에 제2 유전막(104b)을 형성한다.(S4) 상기 제2 유전막(104b)은 금속 유전막으로 형성되거나 실리콘 산화막 또는 실리콘 산질화막으로 형성될 수 있다. 상기 제1 유전막(104a)이 금속 유전막으로 형성된 경우에는 상기 제2 유전막(104b)은 실리콘 산화막 또는 실리콘 산질화막으로 형성된다. 또한, 상기 제1 유전막(104a)이 실리콘 산화막 또는 실리콘 산질화막으로 형성된 경우에는 상기 제2 유전막(104b)은 금속 유전막으로 형성된다. 이하에서는 상기 제2 유전막(104b)이 실리콘 산화막으로 형성된 경우에 대하여 설명한다. 상기 제2 유전막(104b)은 ALD법을 사용하여 형성된다. 상기 제2 유전막(104b)은 상술한 바와 같이 원료기체의 주입 및 배출, 산화기체의 주입 및 배출을 1 싸이클로 하여 이를 반복함으로써 소정 두께를 갖도록 형성될 수 있다. 본 발명의 실시예들에 있어서, 상기 제2 유전막(104b)이 실리콘 산화막인 경우에 실리콘 원료기체로는 DCS(Dichloro silane), TCS((trichlorosilane) 또는 HCD(hexa chlorodisilane)이 사용될 수 있으며 이에 한정되지 않는다. 또한, 산화기체로는 H2O, H2O2, O3, O2 및 N2O로 이루어진 군에서 선택된 하나가 사용될 수 있다. 또한, 상기 산화기체는 플라즈마 처리를 통하여 활성화될 수 있다. 상기 제2 유전막 (104b)의 두께는 상기 제1 유전막(104a)의 두께 및 유전막 전체의 조성을 고려하여 결정하되 30Å 이하의 두께를 갖도록 형성하는 것이 바람직하다.
상술한 바와 같이, 상기 제1 유전막(104a) 상에 상기 제2 유전막(104b)을 형성함으로써, 상기 반도체기판(100) 상에 차례로 적층된 상기 제1 유전막(104a) 및 상기 제2 유전막(104b)으로 이루어지는 적층 유전막(104)이 형성된다. 이후, 상기 적층 유전막(104)에 대한 후처리(108)를 수행한다.(S5) 이하에서, 상기 적층 유전막(104)에 대한 후처리(108)를 제2 후처리(108)로 칭한다. 상기 제2 후처리(108)는 ALD법을 사용하여 상기 적층 유전막(104)을 형성하는 동안에 상기 적층 유전막(104) 내에 잔류하는 탄소 또는 염소등의 불순물들을 제거하기 위하여 수행된다. 따라서, 상기 제2 후처리(108)를 수행하는 것만으로 상기 적층 유전막(104) 내에 잔류하는 불순물들을 유효하게 제거할 수 있는 경우에는 상기 제1 후처리 (106)는 생략될 수 있다. 상기 제2 후처리(108)는 산화처리, 질화처리, 불활성 기체분위기에서의 열처리, 진공 분위기에서의 열처리, 수소 분위기에서의 열처리, 수소 분위기에서의 플라즈마처리 또는 이들의 조합을 통하여 수행될 수 있다. 상기 산화처리는 NO, NO2 또는 O2 분위기에서 수행되는 건식 산화처리, O2 분위기에서 수행되는 플라즈마 처리, O3 분위기에서 수행되는 열처리 또는 습식산화처리를 통하여 수행될 수 있다. 상기 습식산화처리는 H2O, ISSG(in-situ steam generation) 또는 WVG(water vapor generation)을 사용하여 수행될 수 있다. 상기 질화처리는 질소 플라즈마처리 또는 질소분위기에서의 열처리를 통하여 수행될 수 있다. 상기 질소 플라즈마처리는 결합플라즈마(decoupled plasma) 또는 원격플라즈마(remote plasma)를 이용하거나 암모니아 플라즈마를 이용하여 수행될 수 있다. 또한, 상기 질소분위기에서의 열처리는 NH3, NO, N2O 분위기에서 수행될 수 있다. 상기 불활성 기체분위기에서의 열처리는 Ar, He 또는 N2 분위기에서 수행될 수 있다. 상기 산화처리, 질화처리 및 불활성 기체분위기에서의 열처리는 상기 제1 후처리(106)에도 동일하게 적용될 수 있다.
도 1 및 도 5를 참조하면, 상술한 공정들(S2,S3,S4 및 S5)로 구성된 1 싸이클의 증착공정을 수행하여 상기 반도체기판(100) 상에 적층 유전막(104)을 형성한 후에, 상술한 공정들을 순차적으로 반복수행하여 원하는 두께의 게이트 유전막 (110)을 제조한다.(S6) 예를들어, 상기 제1 유전막(104a)이 하프늄 산화막이고 상기 제2 유전막(104b)이 실리콘 산화막인 경우에 상기 게이트 유전막(110)은 하프늄 실리케이트막으로 형성될 수 있다. 한편, 본 발명의 실시예들에 의하면, 상기 적층 유전막(104)에 대한 후처리(108)를 수행한 후에 상기 제2 유전막(104b) 상에 제3 유전막 (104c)을 더 형성할 수 있다. 이 경우에, 상기 제3 유전막(104c)은 상기 제1 유전막(104a)과 동일한 유전막으로 형성할 수 있다.
상술한 바와 같이 본 발명의 실시예들에 의하면, 상기 게이트 유전막(110)은 금속 유전막과 실리콘 산화막 또는 금속 유전막과 실리콘 산질화막이 교대로 적층된 라미네이트 구조를 갖는다. 그 결과 상기 금속 유전막 사이에 실리콘 산화막 또는 실리콘 산질화막이 개재됨으로써 상기 금속 유전막의 결정화로 인한 누설전류의 증가를 방지할 수 있다. 또한, ALD법을 사용하여 상기 각 유전막들을 형성하는 사이에 불순물 제거를 위한 후처리를 수행함으로써 불순물에 의한 게이트 유전막의 열화를 최소화시킬 수 있게 된다.
계속 하여 도 5를 참조하면, 상기 게이트 유전막(104)을 형성한 후에 상기 게이트 유전막(104) 상에 폴리 실리콘과 같은 게이트 도전막(112)을 형성한다. 이후, 포토리소그래피/이방성식각 공정 및 불순물 이온주입공정등 통상의 트랜지스터 제조공정이 더 수행될 수 있다.
<실험예들>
도 6a 및 도 6b는 후처리에 따른 유전막 내 불순물 함량 변화를 나타내는 SIMS(Secondary Ion Mass Spectrometry) 그래프들이다. 도 6a는 염소 함량의 변화를 나타낸 그래프이고, 도 6b는 탄소 함량의 변화를 나타낸 그래프이다. 도 6a 및 도 6b에 있어서, 각각의 데이타는 아래의 <표 1>의 조건에 따라 후처리된 샘플들로 부터 얻어진 결과들이다.
샘플 유전막 후 처 리
제1 샘플(10) ALD SiO2 ×
제2 샘플(11) 450℃, O3 분위기에서 열처리
제3 샘플(12) 700℃, N2 분위기에서 열처리
제4 샘플(13) 750℃, O2 분위기에서 열처리
제5 샘플(14) 750℃, NH3 분위기에서 열처리
제6 샘플(15) 750℃, NH3 분위기에서 열처리 + O2 분위기에서 열처리
제7 샘플(20) 700℃, N2 분위기에서 열처리
제8 샘플(21) 500℃, O2 분위기에서 열처리
제9 샘플(22) 600℃, O2 분위기에서 열처리
제10샐픔(23) O2 플라즈마 처리
제11샘플(24) thermal SiO2 ×
상기 [표 1] 의 샘플들에 있어서, ALD SiO2는 실리콘 원료기체로 HCD를 사용하고 산화기체로는 H2O를 사용하여 형성하였다. 또한, 후처리에 의한 탄소 함량의 변화를 보다 명확히 관찰하기 위하여 피리딘(C5H5N)을 촉매로써 사용하였다.
도 6a를 참조하면, N2, O2, 또는 O3 분위기에서 열처리한 샘플들(11,12,13)에서는 후처리를 수행하지 않은 샘플(10)과 비교할때 염소의 함량이 감소하였다. 특히, NH3를 포함한 분위기에서 열처리한 샘플들(14,15)의 경우에는 염소의 함량이 현저히 감소하는 결과를 나타내었다.
도 6b를 참조하면, O2 분위기에서 열처리한 샘플(21,22) 및 O2 플라즈마 처리한 샘플(23)의 경우 열산화막(24)의 경우보다는 많았지만 N2 분위기에서 열처리한 샘플(20)과 비교할때 탄소의 함량이 감소함을 보였다.
도 6a 및 도 6b의 결과는 ALD법에 의하여 라미네이트구조를 갖는 게이트 유전막을 제조하는 경우에 본 발명의 실시예들에서와 같이 후처리를 실시하는 경우 게이트 유전막 내에 잔류하는 탄소 또는 염소등의 불순물들을 효과적으로 제거할 수 있음을 보여준다. 또한, 상술한 산화처리, 질화처리, 불활성 기체분위기에서의 열처리, 진공 분위기에서의 열처리, 수소 분위기에서의 열처리 또는 수소 분위기에서의 플라즈마처리를 조합하여 후처리를 수행함으로써 불순물들을 더욱 효과적으로 제거할 수 있을 것이다.
이하에서는, 본 발명의 실시예들에 의하여 제조된 하프늄 실리케이트 게이트 유전막의 특성을 평가하였다.
이하의 실험예들에 있어서, 상기 하프늄 실리케이트 게이트 유전막은 하프늄 산화막 및 실리콘 산화막이 교대로 적층된 라미네이트 구조를 갖도록 형성하였다. 즉, 반도체기판 상에 하프늄산화막/실리콘산화막/하프늄산화막/실리콘산화막의 적층구조를 갖는 HSHS 샘플과 하프늄산화막/실리콘산화막/하프늄산화막의 적층구조를 갖는 HSH 샘플을 각각 형성하였다. 상기 하프늄 산화막 및 상기 실리콘 산화막은 ALD법을 사용하여 형성하였다. 상기 하프늄 산화막은 하프늄원료기체로써 HfCl4를 사용하고 산화기체로써 H2O를 사용하여 10Å의 두께를 갖도록 형성하였다. 또한, 상기 실리콘 산화막은 실리콘 원료기체로써 HCD를 사용하고 산화기체로써 H2O를 사용하여 5Å의 두께를 갖도록 형성하였다. 더하여, 상기 실리콘 산화막을 형 성한 후에 후속의 하프늄 산화막을 형성하기 전에 불순물 제거를 위한 후처리로써 150℃의 온도에서 NH3 열처리 및 O2 열처리를 수행하였다. 이후, 상기 하프늄 실리케이트 게이트 유전막 상에 폴리실리콘막을 형성한 후 불순물 이온주입공정을 수행하여 HSHS 샘플 및 HSH 샘플의 게이트 유전막 구조를 갖는 NMOS 트랜지스터(이하 NMOS라 한다.) 및 PMOS 트랜지스터(이하 PMOS라 한다.)를 각각 형성하였다.
또한, 비교를 위하여 하프늄 알루미네이트(Hf aluminate) 및 실리콘산질화막을 각각 게이트 유전막으로 채용하는 NMOS 및 PMOS를 각각 제조하였다. 상기 하프늄 알루미네이트막은 하프늄 산화막과 실리콘 산화막이 교대로 적층된 라미네이트 구조를 갖도록 형성하였다. 즉, 반도체기판 상에 하프늄산화막/알루미늄 산화막 (Al2O3)/하프늄산화막/알루미늄 산화막의 적층구조를 갖는 HAHA 샘플과 하프늄산화막/알루미늄 산화막/하프늄산화막의 적층구조를 갖는 HAH 샘플을 각각 형성하였다. 상기 하프늄 산화막 및 상기 알루미늄 산화막은 ALD법을 사용하여 형성하였다. 상기 하프늄 산화막은 하프늄원료기체로써 HfCl4를 사용하고 산화기체로써 H2O를 사용하여 10Å의 두께를 갖도록 형성하였다. 또한, 상기 알루미늄 산화막은 알루미늄 원료기체로써 TMA(trimethylaluminum)를 사용하고 산화기체로써 H2O를 사용하여 5Å의 두께를 갖도록 형성하였다. 또한, 상기 실리콘 산질화막은 실란(SiH4) 및 N2O 를 반응기체로 사용한 CVD법에 의하여 형성하였다.
도 7a 및 도 7 b는 NMOS 및 PMOS 에서 게이트 유전막에 따른 이동도 (mobility) 특성을 각각 나타낸 그래프들이다. 도 7a 및 도 7b에 있어서, CET는 캐패시턴스로 부터 계산된 등가 산화막 두께이고, Vg는 게이트 전압, Vth는 문턱전압(threshold voltage)이며, 측정시 PMOS 및 NMOS의 폭(W)/길이(L)는 10㎛/0.1㎛이다. 또한, 이동도 특성을 살펴보기 위해서 Gm(transcon ductance)를 평가하였으며 비교를 위하여 실리콘질화막을 게이트 유전막으로 채용한 NSIO 샘플을 기준으로 하여 Gm을 도시하였다.
도 7a 및 도 7b를 참조하면, 하프늄 실리케이트막을 게이트 유전막으로 채용한 경우(HAHA, HAH)에는 상기 NSIO 샘플과 비교시 NMOS가 63%, PMOS가 73%의 Gm 값을 나타내었으나 하프늄 실리케이트막을 게이트 유전막으로 채용한 경우(HSHS, HSH)에는 상기 NSIO 샘플과 비교시 NMOS가 80%, PMOS가 95%의 Gm 값을 나타내었다. 즉, 하프늄 실리케이트막을 게이트 유전막으로 채용하고 본 발명의 실시예들에서와 같이 후처리를 수행한 경우 하프늄 알루미네이트를 게이트 유전막으로 채용한 경우보다 이동도 특성이 더 우수함을 할수 있다.
도 8은 게이트 유전막에 따른 계면 상태 밀도(interface state density;Dit) 특성을 나타낸 그래프이다. 상기 Dit 특성은 차지 펌핑 방법(charge pumping method)을 이용하여 측정하였다.
도 8을 참조하면, HSH 구조의 경우에는 Dit값이 2.5E11/eVcm2을 나타낸 반면 HAH 구조이 경우에는 6.2E11/eVcm2을 나타냈다. 즉, 하프늄 실리케이트막을 게이트 유전막으로 채용한 경우 하프늄 알루미네이트막을 게이트 유전막으로 채용한 경우 보다 우수한 계면 특성을 나타냈다.
도 9a 및 도 9b는 PMOS 및 NMOS 에서 게이트 유전막에 따른 BTI(bias temperature instability)특성을 각각 나타낸 그래프들이다. 도 9a 및 도 9b의 결과는 125℃의 열적 스트레스(thermal stress) 및 10MV/cm의 전계를 가한 상태에서 시간에 따른 문턱전압의 변화량을 도시하는 방법으로 나타내었다.
도 9a 및 도 9b를 참조하면, PMOS의 경우는 HAHA, HAH, HSHS 및 HSH 샘플 모두 NSIO 샘플과 유사한 문턱전압 변화를 보였다. 그러나, NMOS의 경우에는 HAHA 샘플 및 HAH 샘플에서 심한 문턱전압의 변화가 나타났다. 반면, HSHS 샘플 및 HSH 샘플의 경우에는 상대적으로 문턱전압의 변화가 적게 나타났다. 이러한 결과는 하프늄 알루미네이트막과 비교시 하프늄 실리케이트막이 더 적은 차지 트랩사이트 (charge trap site)를 가진다는 것을 보여준다.
상술한 바와 같이 본 발명에 의하면 ALD법을 사용하여 라미네이트 구조를 갖는 고유전막을 형성함으로써 막의 두께 및 조성의 조절이 용이해진다.
또한, 금속 유전막을 임계두께 이상으로 형성하면서도 상기 금속 유전막의 결정화로 인한 누설전류의 발생을 억제할 수 있게 된다.
또한, ALD법을 사용하여 라미네이트 구조를 갖는 고유전막을 형성함에 있어서 후처리를 통하여 막내의 불순물을 최소화할 수 있게 된다.

Claims (29)

  1. 반도체기판 상에 원자층 증착법을 사용하여 제1 유전막 및 제2 유전막으로 이루어진 적층 유전막을 형성하되, 상기 제1 유전막은 금속 유전막으로 형성되고, 상기 제2 유전막은 실리콘 산화막 또는 실리콘 산질화막으로 형성되고,
    상기 적층 유전막에 대하여 후처리를 수행하고,
    상기 적층 유전막을 형성하는 것과 상기 적층 유전막에 대한 후처리를 적어도 1회 반복하는 것을 포함하는 고유전막의 제조방법.
  2. 제 1 항에 있어서,
    상기 금속 유전막은 하프늄 산화막, 하프늄 산질화막, 지르코늄 산화막 또는 지르코늄 산질화막인 것을 특징으로 하는 고유전막의 제조방법.
  3. 제 2 항에 있어서,
    상기 금속 유전막은 하프늄 산화막인 것을 특징으로 하는 고유전막의 제조방법.
  4. 제 1 항에 있어서,
    상기 금속 유전막은 30Å이하의 두께를 갖도록 형성되는 것을 특징으로 하는 고유전막의 제조방법.
  5. 제 1 항에 있어서,
    상기 실리콘 산화막 또는 실리콘 산질화막은 30Å이하의 두께를 갖도록 형성되는 것을 특징으로 하는 고유전막의 제조방법.
  6. 제 1 항에 있어서,
    상기 적층유전막에 대한 후처리는 산화처리, 질화처리, 불활성 기체분위기에서의 열처리, 진공 분위기에서의 열처리, 수소 분위기에서의 열처리, 수소 분위기에서의 플라즈마처리 또는 이들의 조합을 통하여 수행되는 것을 특징으로 하는 고유전막의 제조방법.
  7. 제 6 항에 있어서,
    상기 산화처리는 NO, NO2 또는 O2 분위기에서의 건식산화처리, O2를 포함하는 분위기에서의 플라즈마처리, O3 분위기에서의 열처리 또는 습식 산화처리를 통하여 수행되는 것을 특징으로 하는 고유전막의 제조방법.
  8. 제 6 항에 있어서,
    상기 질화처리는 질소 플라즈마처리 또는 질소분위기에서 열처리를 통하여 수행되는 것을 특징으로 하는 고유전막의 제조방법.
  9. 제 1 항에 있어서,
    상기 적층 유전막을 형성하는 것은
    상기 반도체기판 상에 상기 금속 유전막을 형성하고,
    상기 금속 유전막 상에 상기 실리콘 산화막 또는 실리콘 산질화막을 형성하는 것을 포함하는 것을 특징으로 하는 고유전막의 제조방법.
  10. 제 9 항에 있어서,
    상기 실리콘 산화막 또는 실리콘 산질화막을 형성하기 전에 상기 금속 유전막에 대하여 후처리를 수행하는 것을 더 포함하는 고유전막의 제조방법.
  11. 제 10 항에 있어서,
    상기 금속 유전막에 대한 후처리는 산화처리, 질화처리, 불활성 기체분위기에서의 열처리, 진공 분위기에서의 열처리, 수소 분위기에서의 열처리, 수소 분위기에서의 플라즈마처리 또는 이들의 조합을 통하여 수행되는 것을 특징으로 하는 고유전막의 제조방법.
  12. 제 1 항에 있어서,
    상기 적층 유전막을 형성하는 것은
    상기 반도체기판 상에 상기 실리콘 산화막 또는 실리콘 산질화막으로 형성하고,
    상기 실리콘 산화막 또는 상기 실리콘 산질화막 상에 상기 금속 유전막을 형성하는 것을 포함하는 것을 특징으로 하는 고유전막의 제조방법.
  13. 제 12 항에 있어서,
    상기 금속 유전막을 형성하기 전에 상기 실리콘 산화막 또는 실리콘 산질화막에 대하여 후처리를 수행하는 것을 더 포함하는 고유전막의 제조방법.
  14. 제 13 항에 있어서,
    상기 실리콘 산화막 또는 실리콘 산질화막에 대한 후처리는 산화처리, 질화처리, 불활성 기체분위기에서의 열처리, 진공 분위기에서의 열처리, 수소 분위기에서의 열처리, 수소 분위기에서의 플라즈마처리 또는 이들의 조합을 통하여 수행되는 것을 특징으로 하는 고유전막의 제조방법.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 반도체기판 상에 원자층 증착법을 사용하여 제1 유전막 및 제2 유전막으로 이루어진 적층 유전막을 형성하되, 상기 제1 유전막은 금속 유전막으로 형성되고, 상기 제2 유전막은 실리콘 산화막 또는 실리콘 산질화막으로 형성되고,
    상기 적층 유전막에 대하여 후처리를 수행하고,
    상기 적층 유전막을 형성하는 것과 상기 적층 유전막에 대한 후처리를 적어도 1회 반복하는 것을 포함하는 게이트 유전막의 제조방법.
  19. 제 18 항에 있어서,
    상기 금속 유전막은 하프늄 산화막인 것을 특징으로 하는 게이트 유전막의 제조방법.
  20. 제 18 항에 있어서,
    상기 금속 유전막은 30Å이하의 두께를 갖도록 형성되는 것을 특징으로 하는 게이트 유전막의 제조방법.
  21. 제 18 항에 있어서,
    상기 실리콘 산화막 또는 실리콘 산질화막은 30Å이하의 두께를 갖도록 형성되는 것을 특징으로 하는 게이트 유전막의 제조방법.
  22. 제 18 항에 있어서,
    상기 적층 유전막에 대한 후처리는 산화처리, 질화처리, 불활성 기체분위기에서의 열처리, 진공 분위기에서의 열처리, 수소 분위기에서의 열처리, 수소 분위기에서의 플라즈마처리 또는 이들의 조합을 통하여 수행되는 것을 특징으로 하는 게이트 유전막의 제조방법.
  23. 제 18 항에 있어서,
    상기 적층 유전막을 형성하는 것은
    상기 반도체기판 상에 상기 금속 유전막을 형성하고,
    상기 금속 유전막 상에 상기 실리콘 산화막 또는 실리콘 산질화막을 형성하는 것을 포함하는 것을 특징으로 하는 게이트 유전막의 제조방법.
  24. 제 23 항에 있어서,
    상기 실리콘 산화막 또는 실리콘 질화막을 형성하기 전에 상기 금속 유전막에 대하여 후처리를 수행하는 것을 더 포함하는 게이트 유전막의 제조방법.
  25. 제 24 항에 있어서,
    상기 금속 유전막에 대한 후처리는 산화처리, 질화처리, 불활성 기체분위기에서의 열처리, 진공 분위기에서의 열처리, 수소 분위기에서의 열처리, 수소 분위기에서의 플라즈마처리 또는 이들의 조합을 통하여 수행되는 것을 특징으로 하는 게이트 유전막의 제조방법.
  26. 제 18 항에 있어서,
    상기 적층 유전막을 형성하는 것은
    상기 반도체기판 상에 상기 실리콘 산화막 또는 실리콘 산질화막을 형성하고,
    상기 실리콘 산화막 또는 실리콘 산질화막 상에 상기 금속 유전막을 형성하는 것을 포함하는 것을 특징으로 하는 게이트 유전막의 제조방법.
  27. 삭제
  28. 제 26 항에 있어서,
    상기 금속 유전막을 형성하기 전에 상기 실리콘 산화막 또는 실리콘 산질화막에 대하여 후처리를 수행하는 것을 더 포함하는 게이트 유전막의 제조방법.
  29. 제 28 항에 있어서,
    상기 실리콘 산화막 또는 실리콘 산질화막에 대한 후처리는 산화처리, 질화처리, 불활성 기체분위기에서의 열처리, 진공 분위기에서의 열처리, 수소 분위기에서의 열처리, 수소 분위기에서의 플라즈마처리 또는 이들의 조합을 통하여 수행되는 것을 특징으로 하는 게이트 유전막의 제조방법.
KR1020040026690A 2004-04-19 2004-04-19 감소된 불순물을 갖는 고유전막의 제조방법 KR100568448B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020040026690A KR100568448B1 (ko) 2004-04-19 2004-04-19 감소된 불순물을 갖는 고유전막의 제조방법
US11/107,559 US7396777B2 (en) 2004-04-19 2005-04-15 Method of fabricating high-k dielectric layer having reduced impurity

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040026690A KR100568448B1 (ko) 2004-04-19 2004-04-19 감소된 불순물을 갖는 고유전막의 제조방법

Publications (2)

Publication Number Publication Date
KR20050101626A KR20050101626A (ko) 2005-10-25
KR100568448B1 true KR100568448B1 (ko) 2006-04-07

Family

ID=35096833

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040026690A KR100568448B1 (ko) 2004-04-19 2004-04-19 감소된 불순물을 갖는 고유전막의 제조방법

Country Status (2)

Country Link
US (1) US7396777B2 (ko)
KR (1) KR100568448B1 (ko)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7488656B2 (en) * 2005-04-29 2009-02-10 International Business Machines Corporation Removal of charged defects from metal oxide-gate stacks
KR100648859B1 (ko) * 2005-06-07 2006-11-24 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP2007035823A (ja) * 2005-07-26 2007-02-08 Elpida Memory Inc トレンチ形成方法、半導体装置の製造方法および半導体装置
KR100753411B1 (ko) * 2005-08-18 2007-08-30 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
JP2007088113A (ja) * 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
KR100685748B1 (ko) * 2006-02-09 2007-02-22 삼성전자주식회사 박막 형성 방법 및 이를 이용한 게이트 구조물의 제조 방법
DE102006024214B4 (de) * 2006-05-23 2010-05-20 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Zwischenschicht und Verfahren zum Herstellen eines Speicherkondensators
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
KR100872876B1 (ko) 2006-11-24 2008-12-10 삼성전자주식회사 반도체 장치의 제조 방법 및 이에 따라 제조된 반도체 장치
JP5039396B2 (ja) * 2007-02-19 2012-10-03 ローム株式会社 半導体装置の製造方法
JP5103056B2 (ja) * 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
KR20090019131A (ko) * 2007-08-20 2009-02-25 주식회사 하이닉스반도체 반도체 메모리 소자의 유전체막 형성 방법
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
KR101345390B1 (ko) * 2009-12-01 2013-12-24 도쿠리츠교세이호징 붓시쯔 자이료 겐큐키코 계면층 삭감 방법, 고유전율 게이트 절연막의 형성 방법, 고유전율 게이트 절연막, 고유전율 게이트 산화막,및 고유전율 게이트 산화막을 구비하는 트랜지스터
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
US8580698B2 (en) * 2010-04-14 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate dielectric layer
US8993063B2 (en) 2010-06-08 2015-03-31 President And Fellows Of Harvard College Low-temperature synthesis of silica
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US8987095B2 (en) * 2011-08-19 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a carbon-free dielectric layer over a carbon-doped dielectric layer
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8697508B2 (en) * 2012-04-19 2014-04-15 United Microelectronics Corp. Semiconductor process
US9337103B2 (en) 2012-12-07 2016-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing hard mask oxide and making gate structure of semiconductor devices
US9564330B2 (en) * 2013-08-01 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Normally-off enhancement-mode MISFET
US9698234B2 (en) 2014-08-08 2017-07-04 Samsung Electronics Co., Ltd. Interface layer for gate stack using O3 post treatment
US11152214B2 (en) * 2016-04-20 2021-10-19 International Business Machines Corporation Structures and methods for equivalent oxide thickness scaling on silicon germanium channel or III-V channel of semiconductor device
US9953839B2 (en) * 2016-08-18 2018-04-24 International Business Machines Corporation Gate-stack structure with a diffusion barrier material
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US11290110B2 (en) 2017-10-26 2022-03-29 Samsung Electronics Co., Ltd. Method and system for providing a variation resistant magnetic junction-based XNOR cell usable in neuromorphic computing
US11038153B2 (en) * 2019-01-15 2021-06-15 Applied Materials, Inc. Methods for HMDSO thermal stability

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100201337B1 (ko) 1996-10-05 1999-06-15 안치민 콤비네이션매트의 제조방법
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
JP2000188400A (ja) * 1998-11-09 2000-07-04 Texas Instr Inc <Ti> 半導体デバイスを形成する方法
KR100363082B1 (ko) 1999-09-21 2002-11-30 삼성전자 주식회사 트랜지스터 제조과정에서 이원화된 두께를 갖는 게이트 절연막형성방법
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
KR100415538B1 (ko) * 2001-09-14 2004-01-24 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터 및 그 제조 방법
KR20050062132A (ko) 2003-12-19 2005-06-23 주식회사 하이닉스반도체 혼합유전막을 구비한 캐패시터 형성방법

Also Published As

Publication number Publication date
US20050233598A1 (en) 2005-10-20
US7396777B2 (en) 2008-07-08
KR20050101626A (ko) 2005-10-25

Similar Documents

Publication Publication Date Title
KR100568448B1 (ko) 감소된 불순물을 갖는 고유전막의 제조방법
KR100555543B1 (ko) 원자층 증착법에 의한 고유전막 형성 방법 및 그고유전막을 갖는 커패시터의 제조 방법
US7323422B2 (en) Dielectric layers and methods of forming the same
KR100510546B1 (ko) 반도체 소자의 고유전막 형성 방법
US7521331B2 (en) High dielectric film and related method of manufacture
US6451641B1 (en) Non-reducing process for deposition of polysilicon gate electrode over high-K gate dielectric material
KR100415538B1 (ko) 이중 유전막을 구비한 캐패시터 및 그 제조 방법
US6844604B2 (en) Dielectric layer for semiconductor device and method of manufacturing the same
KR100519800B1 (ko) 란타늄 산화막의 제조방법 및 이를 이용한 모스 전계효과트랜지스터 및 캐패시터의 제조방법
US20100187644A1 (en) Manufacturing method of semiconductor device
US20070098892A1 (en) Method of forming a layer and method of manufacturing a capacitor using the same
KR100639673B1 (ko) 고유전 합금으로 이루어지는 게이트 유전막을 구비하는반도체 소자 및 그 제조 방법
KR100666917B1 (ko) 텅스텐 탄소 질화막을 포함하는 반도체 장치의 제조 방법.
KR100729354B1 (ko) 유전막의 전기적 특성 향상을 위한 반도체 소자의 제조방법
KR101627509B1 (ko) 식각액, 식각액을 사용한 게이트 절연막의 형성 방법 및 식각액을 사용한 반도체 소자의 제조 방법
US8633119B2 (en) Methods for manufacturing high dielectric constant films
US8633114B2 (en) Methods for manufacturing high dielectric constant films
KR20120140112A (ko) 반도체 장치의 제조 방법
KR20030023969A (ko) 고유전막을 구비한 반도체소자 및 그 제조 방법
JP4784065B2 (ja) キャパシタおよびキャパシタの製造方法および半導体装置
JP4051063B2 (ja) 半導体装置の製造方法
KR100790567B1 (ko) 고유전율의 복합 게이트절연막을 갖는 반도체소자 및 그제조방법
KR20050061077A (ko) 반도체 장치에서 유전막 형성 방법
KR101152441B1 (ko) Ge계 반도체층 상에 유전막을 형성하는 방법 및 이를 이용한 반도체 소자 제조방법
Swerts et al. Highly scalable ALD-deposited hafnium silicate gate stacks for low standby power applications

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130228

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140228

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150302

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160229

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170228

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180228

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190228

Year of fee payment: 14