TWI422995B - A method of forming a mask pattern, a method of forming a fine pattern, and a film forming apparatus - Google Patents

A method of forming a mask pattern, a method of forming a fine pattern, and a film forming apparatus Download PDF

Info

Publication number
TWI422995B
TWI422995B TW100130415A TW100130415A TWI422995B TW I422995 B TWI422995 B TW I422995B TW 100130415 A TW100130415 A TW 100130415A TW 100130415 A TW100130415 A TW 100130415A TW I422995 B TWI422995 B TW I422995B
Authority
TW
Taiwan
Prior art keywords
film
pattern
forming
processing
gas
Prior art date
Application number
TW100130415A
Other languages
English (en)
Other versions
TW201220004A (en
Inventor
Kazuhide Hasebe
Shigeru Nakajima
Jun Ogawa
Hiroki Murakami
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201220004A publication Critical patent/TW201220004A/zh
Application granted granted Critical
Publication of TWI422995B publication Critical patent/TWI422995B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Description

光罩圖案之形成方法、微細圖案之形成方法及成膜裝置
本發明係關於被用在半導體製程之光罩圖案的形成方法、微細圖案的形成方法及成膜裝置,特別是形成曝光裝置解析限度以下的圖案時,不會增加製程成本且可提高圖案尺寸修正的精確度之光罩圖案的形成方法、微細圖案的形成方法及成膜裝置。
伴隨著半導體元件的高集積化,製造過程中所要求之配線或分離寬度愈來愈微細化。一般來說,微細圖案係藉由利用光微影技術來形成光阻圖案,並以光阻圖案作為蝕刻遮罩來蝕刻下方的各種薄膜而形成。因此,光微影技術對形成微細圖案極為重要,但近年來半導體元件微細化已要求要到光微影技術解析限度以下的程度。
此處係將用以蝕刻薄膜以形成微細圖案之作為遮罩的圖案定義為光罩圖案。光罩圖案有由氧化膜等犠牲膜或光阻膜而構成的情況。又,以下提到微細圖案時,亦有包含光罩圖案意思的情況。
此種解析限度以下的圖案形成技術例如記載於專利文獻1。
專利文獻1中係形成第1感光膜圖案(以下稱為「第1光阻圖案」)並將第1光阻圖案烘烤後,在第1光阻圖案上形成氧化膜。之後,在第1光阻圖案與第1光阻圖案之間形成第2感光膜圖案(以下稱為「第2光阻圖案」),並利用第1光阻圖案及第2光阻圖案作為蝕刻遮罩來蝕刻下方的薄膜以形成微細圖案。
專利文獻1係利用2個曝光遮罩來形成微細圖案,因此和利用1個曝光遮罩來形成微細圖案的情況相比,可得到2倍以上的分解能量。因此,可形成解析限度以下的微細圖案。
又,將在圖案有機膜上形成矽氧化膜之成膜製程與SWT(Side Wall Transfer Process;側壁轉移製程)或LLE(Lithography Lithography Etching;雙重光微影蝕刻)等製程加以組合的微細圖案形成方法由於可形成上述光微影技術解析限度以下的微細圖案技術而受到注目。
上述所利用之在光阻圖案上形成氧化膜的技術例如記載於專利文獻2。
專利文獻2中雖未記載解析限度以下之微細圖案的成膜方法,但記載了藉由在光阻圖案上預先形成氧化膜,可防止光阻圖案的薄型化現象,並防止所形成的微細圖案產生條紋(striation)或波浪(wiggling)之技術。
專利文獻1:日本特許第2757983號公報
專利文獻2:日本特開2004-080033號公報
然而,將上述在圖案有機膜上形成矽氧化膜之成膜製程與SWT或LLE等微細圖案等加以組合時,有以下的問題。
如上所述,由於光微影的微細化極限,圖案有機膜必須利用光微影後的尺寸修正(微細化(sliming)、修邊(trimming)或平滑化(smoothing))技術,而有因追加該步驟而導致成本增加的問題。
又,實施微細化、修邊或平滑化以進行微細圖案的圖案尺寸修正時係利用光阻塗佈裝置、灰化裝置或蝕刻裝置等而進行,成膜處理係利用成膜裝置而進行。因此,微細化處理結束後半導體基板(晶圓)會暫時從灰化裝置被退出並搬送至成膜裝置。然而,由於微細化處理後晶圓會從裝置被退出,因而有灰塵等附著在光阻圖案表面的可能性。當光阻圖案的表面附著有灰塵等時,形成於光阻圖案上之矽氧化膜會有缺陷密度增加或容易損害到其膜厚均勻性之問題。
再者,進行微細化處理或成膜處理時,要維持光阻圖案尖端與根部間的寬度尺寸差保持在很小一事很困難,而有無法形成形狀優異的微細圖案之問題。
本發明係鑑於上述情況所發明,係提供一種在藉由於圖案有機膜形成矽氧化膜以形成光罩圖案及微細圖案時,可削減使用用以進行光阻圖案微細化處理的處理裝置之步驟,並降低光罩圖案及微細圖案形成的製程成本之光罩圖案的形成方法、微細圖案的形成方法及成膜裝置。又,係提供一種可一邊將光阻圖案尖端與根部間的寬度尺寸差保持在很小一邊進行微細化處理或成膜處理,以形成形狀優異的微細圖案之光罩圖案的形成方法、微細圖案的形成方法及成膜裝置。
為解決上述課題本發明之特徵在於以下所述各機構。
本發明一實施例係提供一種光罩圖案的形成方法,係具有以下步驟:於薄膜上形成光阻膜之步驟;利用光微影技術,將該光阻膜加工成具有特定間距的光阻圖案之圖案加工步驟;對該光阻圖案的形狀進行加工之形狀加工步驟;以及供給來源氣體與氧自由基或含氧氣體,以在利用該形狀加工步驟來進行形狀加工後之該光阻圖案及該薄膜上形成氧化膜之成膜步驟;其特徵在於係於形成該氧化膜之成膜裝置內連續進行該形狀加工步驟與該成膜步驟。
又,本發明一實施例係提供一種光罩圖案的形成方法,係具有以下步驟:於被蝕刻膜上形成反射防止膜之步驟;於該反射防止膜上形成光阻膜之步驟;利用光微影技術,將該光阻膜加工成包含有以特定的間距排列配置之線狀部的光阻圖案之圖案加工步驟;於形成氧化膜之成膜裝置內加工該光阻圖案的形狀之形狀加工步驟;於該形狀加工步驟後接連著將來源氣體與氧自由基或含氧氣體供給至該成膜裝置內,以在利用該形狀加工步驟來進行形狀加工後之該光阻圖案及該被蝕刻膜上形成氧化膜之成膜步驟;蝕刻該氧化膜以使該氧化膜作為側壁而殘留在經形狀加工後之該光阻圖案的該線狀部側面之蝕刻步驟;去除經形狀加工後之該光阻圖案,以形成由作為側壁而殘留之該氧化膜所構成的側壁部之步驟;以及以該側壁部作為遮罩來蝕刻該反射防止膜,以形成由該側壁部及該反射防止膜所構成的光罩圖案之步驟。
又,本發明一實施例係提供一種光罩圖案的形成方法,係具有以下步驟:於被蝕刻膜上形成反射防止膜之步驟;於該反射防止膜上形成光阻膜之步驟;利用光微影技術,將該光阻膜加工成以第1間距排列配置的第1光阻圖案之第1圖案加工步驟;於形成氧化膜之成膜裝置內加工該第1光阻圖案的形狀之第1形狀加工步驟;於該第1形狀加工步驟後接連著將來源氣體與氧自由基或含氧氣體供給至該成膜裝置內,以在利用該第1形狀加工步驟來進行形狀加工後之該第1光阻圖案及該被蝕刻膜上形成氧化膜之成膜步驟;於該氧化膜上形成第2光阻膜之步驟;利用光微影技術,將該第2光阻膜加工成以和該第1間距幾乎相等的第2間距排列配置,且與該第1間距的大約一半距離錯開而與該第1光阻圖案交互地排列配置之第2光阻圖案之第2圖案加工步驟;對該第2光阻圖案的形狀進行加工之第2形狀加工步驟;以及以經形狀加工後之該第1光阻圖案及經形狀加工後之該第2光阻圖案作為遮罩來蝕刻該氧化膜及該反射防止膜,以形成以該第1間距的大約一半之間距排列配置之由該第1光阻膜及該第2光阻膜所構成的光罩圖案之步驟。
又,本發明一實施例係提供一種成膜裝置,係具有:處理容器,係可保持真空並用以處理半導體基板;來源氣體供給機構,係將來源氣體供給至該處理容器內;以及氧自由基供給機構,係將氧自由基或含氧氣體供給至該處理容器內;其中該來源氣體供給機構與該氧自由基供給機構係藉由將該來源氣體與該氧自由基或該含氧氣體交互地供給至該處理容器內,以在形成有光阻圖案之半導體基板上形成氧化膜;其特徵在於在形成有該光阻圖案之半導體基板上形成該氧化膜前,該氧自由基供給機構係藉由將該氧自由基供給至該處理容器內來加工該光阻圖案的形狀。
又,本發明一實施例係提供一種成膜裝置,係為了形成包含有隔著被蝕刻膜而被形成於半導體基板上之反射防止膜、以及覆蓋形成於該反射防止膜上之光阻圖案側壁且由氧化膜所構成的側壁部之光罩圖案,而藉由交互地供給來源氣體與氧自由基或含氧氣體,以在形成有該光阻圖案之該反射防止膜上,將該光阻圖案以等方向性地覆蓋之方式來形成該氧化膜;該成膜裝置係具有:處理容器,可保持真空並用以處理半導體基板;來源氣體供給機構,係將該來源氣體供給至該處理容器內;以及氧自由基供給機構,係將該氧自由基或該含氧氣體供給至該處理容器內;其特徵在於在形成有該光阻圖案之半導體基板上形成該氧化膜前,該氧自由基供給機構係藉由將該氧自由基供給至該處理容器內來加工該光阻圖案的形狀。
又,本發明一實施例係提供一種成膜裝置,係為了形成於半導體基板上由下至上依序隔著被蝕刻膜及反射防止膜而形成,且包含有以第1間距排列配置之第1光阻圖案與以和第1間距幾乎相等的第2間距排列配置,並與該第1間距的大約一半距離錯開而與該第1光阻圖案交互地排列配置之第2光阻圖案的光罩圖案,而藉由交互地供給來源氣體與氧自由基或含氧氣體,以在形成有該第1光阻圖案之該反射防止膜上,將該第1光阻圖案以等方向性地覆蓋之方式來形成該氧化膜;該成膜裝置係具有:處理容器,可保持真空並用以處理半導體基板;來源氣體供給機構,係將該來源氣體供給至該處理容器內;以及氧自由基供給機構,係將該氧自由基或該含氧氣體供給至該處理容器內;其特徵在於在形成有第1光阻圖案之半導體基板上形成該氧化膜前,該氧自由基供給機構係藉由將該氧自由基供給至該處理容器內來加工該第1光阻圖案的形狀。
又,本發明一實施例係提供一種光罩圖案的形成方法,係具有以下步驟:於被蝕刻膜上形成反射防止膜之步驟;於該反射防止膜上形成光阻膜之步驟;利用光微影技術,將該光阻膜加工成包含有以第1間距排列配置之線狀部的第1光阻圖案之第1圖案加工步驟;對該第1光阻圖案的形狀進行加工之第1形狀加工步驟;以及供給來源氣體與含氧氣體,以在利用該第1形狀加工步驟來進行形狀加工後之該第1光阻圖案及該被蝕刻膜上,於常溫下形成鋁氧化膜之成膜步驟。
本發明係藉由於圖案有機膜形成矽氧化膜以形成光罩圖案及微細圖案時,可削減使用用以進行光阻圖案微細化處理的處理裝置之步驟,並降低光罩圖案及微細圖案形成的製程成本。又,可一邊將光阻圖案尖端與根部間的寬度尺寸差保持在很小一邊進行微細化處理或成膜處理,而形成形狀優異的光罩圖案及微細圖案。
接下來說明用以實施本發明的形態及圖式。
(第1實施形態)
參照圖1~圖8說明本發明第1實施形態微細圖案的形成方法及成膜裝置。
首先,參照圖1~圖2B,說明本發明第1實施形態微細圖案的形成方法。
圖1係用以說明本實施形態之微細圖案形成方法的各步驟順序之流程圖。圖2A及圖2B係用以說明本實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖。又,進行圖1之步驟S11至步驟S17及步驟S18各步驟後的微細圖案結構係對應於圖2A(a)至圖2B(g)及圖2B(h)之各剖面圖所顯示的結構。
此外,如上所述,將用以蝕刻薄膜以形成微細圖案之作為遮罩的圖案定義為光罩圖案。光罩圖案有由氧化膜等犠牲膜或光阻膜構成的情況。又,以下提到微細圖案時,亦有包含光罩圖案意思的情況(以下之實施形態亦相同)。
本實施形態之微細圖案的形成方法如圖1所示,係包含:形成薄膜之步驟、形成光阻膜之步驟、圖案加工步驟、形狀加工步驟、成膜步驟、蝕刻步驟、去除光阻圖案並蝕刻有機膜之步驟、以及蝕刻薄膜之步驟。形成薄膜之步驟係包含步驟S11,形成光阻膜之步驟係包含步驟S12,圖案加工步驟係包含步驟S13,光阻圖案之形狀加工之步驟係包含步驟S14,成膜步驟係包含步驟S15,蝕刻步驟係包含步驟S16,去除光阻圖案並蝕刻有機膜之步驟係包含步驟S17,蝕刻薄膜之步驟係包含步驟S18。
又,如圖1所示,步驟S14與步驟S15係在相同的反應室(處理容器)內進行連續處理。
步驟S11係於半導體基板上形成薄膜之步驟。圖2A(a)係顯示步驟S11進行後的微細圖案結構之剖面圖。
如圖2A(a)所示,步驟S11係於半導體基板101上由下至上依序形成薄膜102、有機膜103。薄膜102係藉由形成圖案,而在進行之後的各種加工步驟時作為遮罩而發揮功能。有機膜103係形成有圖案並作為用以形成薄膜102的圖案之遮罩而發揮功能。又,有機膜103亦有在進行形成於其上之光阻膜104的光微影時作為底部反射防止膜(BARC;Bottom Anti-Reflecting Coating)而發揮功能的情況。
又,半導體基板101並非僅指半導體(例如矽基板),而是定義為包含形成有與半導體基板內或半導體基板上所形成之半導體元件或積體電路圖案相對應的導電膜或使該等絕緣的層間絕緣膜之結構體。
又,本實施形態之薄膜及有機膜係分別相當於本發明之被蝕刻膜、反射防止膜。
薄膜102的材質未特別限制,可利用含有例如氮化矽、氧化矽、氧氮化矽、非晶矽或多晶矽之膜。又,薄膜102的厚度未特別限制,可為例如20~200nm。
有機膜103的材質未特別限制,可利用包含有藉由例如化學氣相沉積法(CVD;Chemical Vapor Deposition)所成膜之非晶碳、利用旋轉塗佈法所成膜之多酚化合物或i線光阻等光阻的廣泛有機系材料。又,有機膜103的厚度未特別限制,可為例如150~300nm。
步驟S12係形成光阻膜104之步驟。圖2A(b)係顯示步驟S12進行後的微細圖案結構之剖面圖。
光阻膜104的材質可利用例如ArF光阻。又,光阻膜104的厚度未特別限制,可為例如50~200nm。
接下來,進行包含步驟S13之圖案加工步驟。步驟S13係將所成膜之光阻膜104曝光、顯影以形成由光阻膜104構成的光阻圖案104a之步驟。又,圖2A(c)係顯示步驟S13進行後的微細圖案結構之剖面圖。
如圖2A(c)所示,係形成由光阻膜104構成的光阻圖案104a。光阻圖案104a係作為蝕刻有機膜103步驟中的遮罩而發揮功能。光阻圖案104a的線寬LL4及線距SS4未特別限制,兩者可為例如60nm。
又,本實施形態中,係將線寬為LL4之構成光阻圖案的每一條線定義為線狀部。因此,本實施形態之圖案加工步驟係利用光微影技術來將光阻膜加工成包含有以特定的間距排列配置之線狀部的光阻圖案之步驟。
接下來,進行包含步驟S14之形狀加工步驟。步驟S14係對光阻膜104構成的光阻圖案104a進行微細化處理,以形成由光阻膜104所構成的光阻圖案104b之步驟。又,圖2A(d)係顯示步驟S14進行後的微細圖案結構之剖面圖。
又,微細化處理係相當於本發明之形狀加工步驟中將形狀加以加工之處理,亦稱為修邊處理(trimming)。
微細化處理的方法未特別限制,微細化處理條件的一例為在含有氧自由基或臭氧氣體之環境氣體中溫度為室溫~100℃。又,如圖2A(c)及圖2A(d)所示,經微細化處理所形成之光阻圖案104b的線寬LL1相較於進行微細化處理前之光阻圖案104a的線寬LL4會變得較細,因此光阻圖案104b之線寬LL1及線距SS1與光阻圖案104a之線寬LL4及線距SS4的大小關係為LL1<LL4、SS1>SS4。LL1及SS1的值未特別限制,例如LL1可為30nm,SS1可為90nm。
本實施形態中,步驟S14係在用以進行之後接連著進行之步驟S15的成膜步驟之成膜裝置的處理容器內進行。
又,進行步驟S14時,係選擇有機膜(反射防止膜)103不會被蝕刻的條件而進行。其中一例為,藉由進行如後述實施例1之條件,可使有機膜(反射防止膜)103不會被蝕刻。其係因為在有機膜(反射防止膜)103會被蝕刻的條件下進行步驟S14時,有機膜(反射防止膜)103不會被完全蝕刻而會有殘留,而無法高精確度地進行之後的光罩圖案形成方法。
接下來,在步驟S14後接連著於成膜裝置之處理容器內進行包含步驟S15之成膜步驟。步驟S15係在經微細化處理之光阻圖案104b及有機膜103上形成SiO2 膜105之成膜步驟。又,圖2B(e)係顯示步驟S15進行後的微細圖案結構之剖面圖。
又,SiO2 膜(氧化矽膜)係相當於本發明之矽氧化膜。又,以下亦可取代SiO2 膜而為SiOx 膜或包含以矽與氧為主成分之其他組成的膜。又,亦可利用氧氮化矽膜(SiON膜)。
SiO2 膜105之成膜步驟係在光阻膜104作為光阻圖案104b而殘留的狀態下進行,但一般來說由於有機膜103在高溫下較為脆弱,故較佳地係在低溫(例如300℃以下左右)下進行成膜。成膜方法只要是能如此地在低溫下進行成膜,則未特別限制,本實施形態可藉由低溫下之分子層堆積(Molecular Layer Deposition,以下稱為MLD),即低溫MLD而進行。其結果為,如圖2B(e)所示,包含形成有及未形成有光阻圖案104b的部位,會在基板整面形成SiO2 膜105,且在光阻圖案104b的側面亦會形成如同將光阻圖案104b的側面覆蓋之SiO2 膜105。若使此時之SiO2 膜105的厚度為D,則覆蓋光阻圖案104b側面之SiO2 膜105的寬度亦會為D。SiO2 膜105的厚度D未特別限制,可為例如30nm。
接下來,說明藉由低溫MLD之成膜步驟。
低溫MLD係交互地重複將含矽原料氣體供給至處理容器內以使矽原料吸附在基板上之步驟,以及將含氧氣體供給至處理容器內以使矽原料氧化之步驟。
具體來說,使含矽原料氣體吸附在基板上之步驟係以1個分子內具有2個胺基之胺基矽烷氣體(例如雙(第三丁基胺基)矽烷(以下,稱為BTBAS))作為含矽原料氣體,並經由矽原料氣體之供給噴嘴在特定時間內(圖5中為後述之T3)供給至處理容器內。藉此,使BTBAS吸附在基板上。
接下來,在將含氧氣體供給至處理容器內以使矽材料氧化之步驟中,係以藉由具備例如高頻電源之電漿產生機構而電漿化之O2 氣體作為含氧氣體,並經由氣體供給噴嘴在特定時間內(圖5中為後述之T4)供給至處理容器內。藉此,使基板上吸附在之BTBAS氧化而形成SiO2 膜105。
又,在切換上述使含矽原料氣體吸附在基板上之步驟與將含氧氣體供給至處理容器內以使矽材料氧化之步驟時,各個步驟之間為了去除前一個步驟的殘留氣體,可一邊將處理容器內真空排氣一邊進行將例如N2 氣體等不活性氣體所構成的吹淨氣體在特定時間內供給至處理容器內之步驟(在圖5中為後述之T5)。又,該步驟只要能將處理容器內殘留的氣體去除即可,可在不供給吹淨氣體且停止所有氣體供給之狀態下繼續真空排氣而進行。
本實施形態係利用含有有機矽之來源氣體作為用以形成SiO2 膜105之Si來源氣體。含有有機矽之Si來源氣體例如有胺基矽烷系前驅物。胺基矽烷系前驅物例如有1價或2價的胺基矽烷系前驅物。1價或2價胺基矽烷系前驅物的具體例子有例如BTBAS(雙(第三丁基胺基)矽烷)、BDMAS(雙(二甲基胺基)矽烷)、BDEAS(雙(二乙基胺基)矽烷)、DPAS(二丙基胺基矽烷)、BAS(丁基胺基矽烷)及DIPAS(二異丙基胺基矽烷)。
又,胺基矽烷系前驅物可利用3價的胺基矽烷系前驅物。3價的胺基矽烷系前驅物例如有TDMAS(參(二甲基胺基)矽烷)。
又,含有有機矽之Si來源氣體除了胺基矽烷系前驅物以外,亦可利用乙氧基矽烷系前驅物。乙氧基矽烷系前驅物例如有TEOS(四乙氧基矽烷)。
另一方面,含氧氣體除了O2 氣體以外,亦可利用NO氣體、N2 O氣體、H2 O氣體與O3 氣體,並利用高頻電場來將該等電漿化以作為氧化劑使用。藉由利用此種含氧氣體電漿,可在300℃以下進行SiO2 膜的成膜,更進一步地藉由調整含氧氣體的氣體流量、高頻電源的功率與處理容器內的壓力,可在100℃以下或室溫下進行SiO2 膜的成膜。
接下來,進行包含步驟S16之蝕刻步驟。步驟S16係將SiO2 膜105蝕刻至只有光阻圖案104b的側壁部105a會殘留之蝕刻步驟。又,圖2B(f)係顯示步驟S16進行後的微細圖案結構之剖面圖。
如圖2B(f)所示,將SiO2 膜105蝕刻至SiO2 膜105只有將光阻圖案104b的側面覆蓋之側壁部105a會殘留的狀態。SiO2 膜105的蝕刻未特別限制,可利用例如CF4 、C4 F8 、CHF3 、CH3 F或CH2 F2 等CF系氣體與Ar氣體等之混合氣體或依需要在該混合氣體添加氧之氣體等而進行。由於係蝕刻成只有SiO2 膜105所構成的光阻圖案104b的側壁部105a會殘留,故形成了由光阻圖案104b及側壁部105a所構成的第3圖案106。使第3圖案106的線寬為LL3、線距為SS3,則當光阻圖案104b的線寬LL1為30nm,側壁部105a的厚度D為30nm時,由於LL3=LL1+D×2、SS3=LL1+SS1-LL3,故可使LL3為90nm、SS3為30nm。
又,係藉由蝕刻來使SiO2 膜105的表面向厚度方向後退,故亦將步驟S16之蝕刻步驟所進行的蝕刻稱為回蝕刻(Etch-back)。
又,藉由進行蝕刻步驟,將氧化膜蝕刻至會在經形狀加工之光阻圖案線狀部的側面作為側壁而殘留。因此,蝕刻步驟係將氧化膜蝕刻至氧化膜會在經形狀加工之光阻圖案線狀部的側面作為側壁而殘留之步驟。
步驟S17係去除光阻圖案104b以形成側壁部105a之步驟,並更進一步地以所形成之側壁部105a作為遮罩來蝕刻有機膜103之步驟。又,圖2B(g)係顯示去除光阻圖案104b之步驟與蝕刻有機膜103之步驟進行後的微細圖案結構之剖面圖。
藉由利用氧、氮、氫、氨等電漿來進行蝕刻,並去除由光阻膜104所構成的光阻圖案104b,則只有側壁部105a會殘留。更進一步地,藉由以殘留的側壁部105a作為遮罩來蝕刻有機膜103,如圖2B(g)所示,會形成由側壁部105a及有機膜103所構成的線寬D、線距LL1及SS3交互地顯現之圖案(第2圖案107)。其結果為,如圖2B(g)所示,光阻膜104所構成的光阻圖案104b被去除後只有側壁部105a殘留,而形成線寬D、線距LL1及SS3交互地顯現之圖案(第2圖案107)。本實施形態中,藉由使光阻圖案104b的線寬LL1與第3圖案106的線距SS3相等,則線距會成為與LL1及SS3相等之SS2。又,再使與D相等之線寬為LL2。如上所述,藉由使LL1為30nm、SS3為30nm、SiO2 膜105的厚度(側壁部105a的寬度D)為30nm,則可形成線寬LL2為30nm、線距SS2為30nm之第2圖案107。
又,第2圖案107係由側壁部105a及有機膜(反射防止膜)103所構成,相當於本發明之光罩圖案。
之後,以第2圖案107作為遮罩來蝕刻薄膜102。亦即,進行步驟S18。如圖2B(h)所示,步驟S18係利用第2圖案(光罩圖案)107來加工薄膜(被蝕刻膜)102,而形成由薄膜(被蝕刻膜)102所構成的圖案102a。圖案102a的上方可殘留有機膜(反射防止膜)103。例如非晶矽或多晶矽所構成之薄膜102的蝕刻可利用Cl2 、Cl2 +HBr、Cl2 +O2 、CF4 +O2 、SF6 、Cl2 +N2 、Cl2 +HCl、HBr+Cl2 +SF6 等氣體等之電漿來進行。亦即,可利用含有CF系氣體、CHF系氣體、CH系氣體或氧氣等氣體來進行。
又,將形成有機膜(反射防止膜)103之步驟(步驟S11的一部份)至形成由側壁部105a及有機膜(反射防止膜)103所構成的光罩圖案之步驟(步驟S17),定義為本發明之光罩圖案的形成方法。又,包含該光罩圖案的形成方法,將形成薄膜(被蝕刻膜)102之步驟(步驟S11的一部份)至形成由薄膜(被蝕刻膜)102所構成的圖案102a之步驟(步驟S18),定義為本發明之微細圖案的形成方法。
接下來,參照圖3及圖4說明使用於本實施形態之微細圖案形成方法的成膜裝置。
圖3係概要地顯示使用於本實施形態之微細圖案形成方法的成膜裝置結構之縱剖面圖。圖4係概要地顯示使用於本發明第1實施形態之微細圖案形成方法的成膜裝置結構之橫剖面圖。又,圖4中省略了加熱裝置。
如圖3所示,本實施形態之成膜裝置80係在同一成膜裝置中連續處理形狀加工步驟(微細化處理)與成膜步驟(成膜處理)。
過去,微細化處理係利用蝕刻裝置或灰化裝置等而進行,成膜處理係利用成膜裝置而進行。因此,微細化處理結束後之半導體基板(晶圓)會暫時從灰化裝置被退出並搬送至成膜裝置。
如上所述,由於縮小(shrink)處理後晶圓會從裝置被退出,因此有灰塵等附著在光阻圖案104b表面的可能性。光阻圖案104b表面附著有灰塵等時,形成於光阻圖案104b上之SiO2 膜105的缺陷密度會增加且容易損害到其膜厚均勻性。
相對於此,本實施形態之成膜裝置80係利用成膜裝置來進行微細化處理,且係在同一成膜裝置中連續處理微細化處理與成膜處理。藉此,可在將光阻圖案104b表面保持清潔的狀態下形成SiO2 膜105,且具有降低其缺陷密度及提高膜厚均勻性之優點。
再者,藉由在同一裝置內連續進行上述2道處理,可獲得降低搬送或待機時間(Queing-time)、提高生產效率及降低成本之效果。
如圖3及圖4所示,成膜裝置80係具有下端具開口且具有天井的圓筒狀處理容器1。該處理容器1整體係由例如石英所形成,該處理容器1內的頂部係設置有石英製頂板2而被密封。又,該處理容器1下端的開口部係透過O型環等密封組件4而連結有利用例如不鏽鋼而成形為圓筒狀之分歧管3。
該分歧管3係支撐處理容器1的下端,可多層地載置作為被處理體之多片(例如50~100片)半導體晶圓W的石英製晶舟5可從該分歧管3下方插入處理容器1內。該晶舟5係具有3根支柱6(圖4參照),以藉由形成於支柱6之溝槽來支撐多片晶圓W。
該晶舟5係介隔著石英製保溫筒7而載置於載置台8上,該載置台8係被支撐在貫穿用以開閉分歧管3下端的開口部之例如不鏽鋼製蓋部9的迴轉軸10上。
然後,該迴轉軸10之貫穿部設置有例如磁性流體密封件11,其係氣密地密封並可迴轉地支撐迴轉軸10。又,蓋部9的周邊部與分歧管3的下端部之間介設有例如O型環所構成之密封組件12,藉以維持處理容器1內的密封性。
上述迴轉軸10係裝設於例如晶舟升降器等升降機構(未圖示)所支撐之臂部13的尖端,可將晶舟5及蓋部9等一體地升降並相對於處理容器1內插脫。又,亦可將上述載置台8固定在上述蓋部9側,而可在不使晶舟5迴轉的狀態下即可進行晶圓W的處理。
又,成膜裝置80具有:將含氧氣體(例如O2 氣體)供給至處理容器1內之含氧氣體供給機構14;將Si來源氣體供給至處理容器1內之Si來源氣體供給機構15;以及將作為吹淨氣體之不活性氣體(例如N2 氣體)供給至處理容器1內之吹淨氣體供給機構16。
又,含氧氣體供給機構14係相當於本發明之氧自由基供給機構,Si來源氣體供給機構15係相當於本發明之來源氣體供給機構。
含氧氣體供給機構14具有:含氧氣體供給源17;從含氧氣體供給源17引導含氧氣體之含氧氣體配管18;以及連接於該含氧氣體配管18,並將分歧管3的側壁向內側貫穿後向上方彎曲且垂直地延伸之石英管所構成的含氧氣體分散噴嘴19。該含氧氣體分散噴嘴19的垂直部分形成有相距特定間隔之複數個氣體噴出孔19a,可從各氣體噴出孔19a水平地朝處理容器1內略均勻地噴出含氧氣體(例如O2 氣體)。
又,Si來源氣體供給機構15具有:Si來源氣體供給源20;從該Si來源氣體供給源20引導Si來源氣體之Si來源氣體配管21;以及連接於該Si來源氣體配管21,並將分歧管3的側壁向內側貫穿後向上方彎曲且垂直地延伸之石英管所構成的Si來源氣體分散噴嘴22。此處係設置2根Si來源氣體分散噴嘴22(參照圖4),各Si來源氣體分散噴嘴22形成有沿著其長度方向相距特定間隔之複數個氣體噴出孔22a,可從各氣體噴出孔22a水平地朝處理容器1內略均勻地噴出含有有機矽之Si來源氣體。又,Si來源氣體分散噴嘴22亦可為1根。
再者,吹淨氣體供給機構16具有:吹淨氣體供給源23;從吹淨氣體供給源23引導吹淨氣體之吹淨氣體配管24;以及連接於該吹淨氣體配管24,並貫穿分歧管3的側壁之吹淨氣體噴嘴25。吹淨氣體可利用不活性氣體(例如N2 氣體)。
含氧氣體配管18、Si來源氣體配管21、吹淨氣體配管24係分別設置有開閉閥18a、21a、24a及如質流控制器(Mass Flow Controller)之流量控制器18b、21b、24b,以分別控制流量並供給含氧氣體、Si來源氣體及吹淨氣體。
上述處理容器1側壁的一部份形成有用以形成含氧氣體電漿之電漿產生機構30。該電漿產生機構30係藉由沿著上下方向將上述處理容器1的側壁以特定寬度削除,以從其外側覆蓋被形成為上下細長之開口31,並具有氣密地焊接於處理容器1的外壁之電漿區劃壁32。電漿區劃壁32係形成為上下細長之剖面凹部狀,例如係由石英所形成。又,電漿產生機構30係具有在該電漿區劃壁32的兩側壁外面沿著上下方向互相對向之方式所設置之一對細長的電漿電極33,與透過供電線34連接於該電漿電極33之用以供給高頻功率的高頻電源35。然後,藉由從高頻電源35將例如13.56MHz的高頻電壓施加至上述電漿電極33則可產生含氧氣體電漿。又,該高頻電壓的頻率不限於13.56MHz,亦可利用其他的頻率,例如400kHz等。
藉由形成上述之電漿區劃壁32,則處理容器1側壁的一部份會成為向外側凹陷之凹部狀,而電漿區劃壁32的內部空間會成為與處理容器1的內部空間一體連通之狀態。又,開口31為了能將晶舟5所保持之所有的晶圓W從高度方向覆蓋而形成為上下方向十分地長。
上述含氧氣體分散噴嘴19係在沿著處理容器1內向上方延伸的途中向處理容器1的半徑方向外側彎曲,而沿著上述電漿區劃壁32內最深處的部分(從處理容器1的中心相距最遠的部分)朝上方直立。因此,當高頻電源35被起動而在兩電極33間形成高頻電場時,從含氧氣體分散噴嘴19之氣體噴出孔19a所噴出之氧氣會被電漿化而朝處理容器1的中心擴散流動。
上述電漿區劃壁32的外側裝設有將其覆蓋之例如石英構成的絕緣保護罩36。又,該絕緣保護罩36的內側部分設置有未圖示之冷媒通路,藉由流入例如經冷卻之氮氣可將上述電漿電極33冷卻。
上述之2根Si來源氣體分散噴嘴22係直立地設置於將處理容器1內側壁之上述開口31夾置其中之位置,而可從形成於該Si來源氣體分散噴嘴22之複數個氣體噴出孔22a朝處理容器1的中心方向噴出作為Si來源氣體之1個分子內具有1個或2個胺基之胺基矽烷氣體。
另一方面,處理容器1之開口31的相反側部分設置有用以將處理容器1內真空排氣之排氣口37。該排氣口37係藉由將處理容器1的側壁從上下方向削除而形成為細長狀。與處理容器1之該排氣口37對應的部分利用焊接方式裝設有將排氣口37覆蓋且剖面形成為凹部狀之排氣口覆蓋組件38。該排氣口覆蓋組件38係沿著處理容器1的側壁向上方延伸,而於處理容器1的上方形成氣體出口39。然後,從該氣體出口39利用包含未圖示之真空幫浦等真空排氣機構而被真空抽氣。然後,以圍繞該處理容器1外周之方式設置有加熱該處理容器1及其內部的晶圓W之框體狀加熱裝置40。
成膜裝置80之各構成部的控制(例如利用閘閥18a、21a、24a的開閉來進行各氣體之供給、停止,利用流量控制器18b、21b、24b來進行氣體流量之控制,以及利用高頻電源35之開、關控制,加熱裝置40之控制等)係藉由例如微處理器(電腦)所構成的控制器50而進行。控制器50係連接有步驟管理者為了管理成膜裝置80而將進行指令之輸入操作等鍵盤或將成膜裝置80的稼働狀況可視化地表示之顯示器等所構成的使用者介面51。
又,控制器50係連接於收納有利用控制器50的控制來實現成膜裝置80所實施的各種處理之控制程式或配合處理條件使成膜裝置80的各構成部實施處理之程式(即指令)之記憶部52。指令係被記憶在記憶部52中的記憶媒體。記憶媒體可為硬碟或半導體記憶體,亦可為CD-ROM、DVD、快閃記憶體等可搬動性之物。又,亦可從其他裝置,例如透過專用線路適當地傳送指令。
然後,依需要藉由利用來自使用者介面51的指示等將任意指令從記憶部叫出並在控制器50實行,而在控制器50的控制下於成膜裝置80進行所欲處理。
接下來,參照圖5及圖6,針對本實施形態微細圖案的形成方法之形狀加工步驟及成膜步驟中之處理加以說明。
圖5係用以說明本實施形態之微細圖案形成方法的示意圖,係顯示形狀加工步驟及成膜步驟中氣體的供給時間點之時序圖。圖6係用以說明本實施形態之微細圖案形成方法的示意圖,係概要地顯示於Si來源氣體利用BTBAS時半導體基板上的反應之示意圖。
藉由將載置有例如50~100片半導體晶圓W狀態下的晶舟5從其下方上升而載置於預先被控制在特定溫度之處理容器1內,並藉由利用蓋部9將分歧管3的下端開口部封閉以使處理容器1內為一密閉空間。晶圓W係例示直徑300mm之晶圓。將處理容器1內維持在特定的製程壓力,並控制向加熱裝置40之供給功率,使晶圓的溫度上升而維持在製程溫度後迴轉晶舟5。
但本實施形態係在成膜步驟開始前進行加工光阻圖案的形狀之形狀加工步驟。
如圖5所示,於形狀加工步驟中實施將激發含氧氣體所形成之氧自由基供給至處理容器1以加工光阻圖案的形狀(微細化處理)之步驟S1。
步驟S1之供給氧自由基的步驟中,從含氧氣體供給機構14之含氧氣體供給源17將含氧氣體(例如O2 氣體)經由含氧氣體配管18及含氧氣體分散噴嘴19從氣體噴出孔19a噴出,此時,起動電漿產生機構30的高頻電源35以形成高頻電場,並藉由該高頻電場來將含氧氣體(例如O2 氣體)電漿化。然後,將利用上述方式電漿化後之含氧氣體供給至處理容器1內。藉此,藉由對形成光阻圖案之光阻實施灰化處理以減少光阻圖案的寬度及高度,亦即進行微細化處理。該處理時間T1係例示1~600sec的範圍。又,含氧氣體的流量係依半導體晶圓W的載置片數而不同,此處例示100~20000mL/min(sccm)。又,高頻電源35的頻率係例示13.56MHz,功率係採用5~1000W。又,此時之處理容器1內壓力係例示13.3~665Pa。
該情況下,含氧氣體除了O2 氣體以外,可例舉有NO氣體、N2 O氣體、H2 O氣體、O3 氣體,係利用高頻電場來將該等氣體電漿化。只要是氧自由基即可,可不限於含氧氣體電漿,但較佳地係形成含氧氣體電漿,其中較佳係O2 電漿。藉由利用氧自由基,特別是含氧氣體電漿來作為氧化劑,可使進行微細化處理時的基板溫度為300℃以下,更進一步地為100℃以下,理想上即使是室溫亦可進行微細化處理。
接下來,形狀加工步驟後接連著於處理容器1內進行成膜步驟。
首先,形狀加工步驟後,於成膜步驟開始前進行步驟S2。步驟S2係將步驟S1後殘留在處理容器1內之氣體去除以在接下來的步驟產生所欲反應之步驟,係藉由將處理容器1內真空排氣,並從吹淨氣體供給機構16之吹淨氣體供給源23經由吹淨氣體配管24及吹淨氣體噴嘴25供給作為吹淨氣體之不活性氣體(例如N2 氣體)而進行。該步驟S2之時間T2係例示1~60sec。又,吹淨氣體流量係例示0.1~5000mL/min(sccm)。又,該步驟S2只要能將殘留在處理容器1內的氣體去除的話,可在不供給吹淨氣體且停止所有氣體供給的狀態下繼續真空抽氣而進行。但藉由供給吹淨氣體,可在短時間內將處理容器1內的殘留氣體去除。又,此時之處理容器1內壓力係例示0.133~665Pa。又,基板溫度係例示室溫(25℃)~700℃,較佳為室溫(25℃)~500℃,更佳為室溫(25℃)~300℃。
接著將處理容器1內維持在特定的製程壓力,並控制向加熱裝置40之供給功率,以使晶圓溫度上升而維持在製程溫度後迴轉晶舟5的狀態下開始成膜處理。
如圖5所示,本實施形態係交互地重複進行使含有有機矽之Si來源氣體流入處理容器1內以使Si來源吸附之步驟S3,以及將含氧氣體激發所形成之氧自由基供給至處理容器1以氧化Si來源氣體之步驟S4。更進一步地,本實施形態係實施在步驟S3與步驟S4之間從處理容器1內將殘留在處理容器1內的氣體去除之步驟S5。
具體來說,本實施形態之步驟S3係從Si來源氣體供給機構15之Si來源氣體供給源20將作為Si來源氣體之1個分子內具有1個或2個胺基的胺基矽烷氣體(例如BTBAS)從氣體噴出孔22a經由Si來源氣體配管21及Si來源氣體分散噴嘴22以T3的時間供給至處理容器1內。藉此,使Si來源氣體吸附在半導體晶圓。此時之時間T3係例示1~600sec。又,Si來源氣體的流量係例示10~500mL/min(sccm)。又,此時之處理容器1內壓力係例示13.3~665Pa。
步驟S4之氧自由基供給步驟中,與步驟S1同樣地,從含氧氣體供給機構14之含氧氣體供給源17將含氧氣體(例如O2 氣體)經由含氧氣體配管18及含氧氣體分散噴嘴19從氣體噴出孔19a噴出,此時,起動電漿產生機構30的高頻電源35以形成高頻電場,並藉由該高頻電場來將含氧氣體(例如O2 氣體)電漿化。然後,將以上述方式電漿化之含氧氣體供給至處理容器1內。藉此,形成吸附在半導體晶圓W的Si來源被氧化之SiO2 。該處理時間T4係例示1~600sec的範圍。又,含氧氣體的流量係因半導體晶圓W的載置片數而不同,此處例示100~20000mL/min(sccm)。又,高頻電源35的頻率係例示13.56MHz,功率係採用5~1000W。又,此時之處理容器1內壓力係例示13.3~665Pa。
該情況下,與步驟S1同樣地,含氧氣體除了O2 氣體以外,可例舉有NO氣體、N2 O氣體、H2 O氣體、O3 氣體,係利用高頻電場來將該等氣體電漿化而作為氧化劑使用。氧化劑只要是氧自由基即可,可不限於含氧氣體電漿,但較佳地係形成含氧氣體電漿,其中較佳係O2 電漿。藉由利用氧自由基,特別是含氧氣體電漿來作為氧化劑,可使SiO2 的成膜為300℃以下,更進一步地為100℃以下,理想上即使在室溫下亦可進行成膜。
又,在步驟S3與步驟S4間進行的步驟S5,係在步驟S3後或步驟S4後將殘留在處理容器1內之氣體去除以在接下來的步驟中產生所欲反應之步驟,係藉由將處理容器1內真空排氣,並從吹淨氣體供給機構16之吹淨氣體供給源23經由吹淨氣體配管24及吹淨氣體噴嘴25供給作為吹淨氣體之不活性氣體(例如N2 氣體)而進行。該步驟S5的時間T5係例示1~60sec。又,吹淨氣體流量係例示0.1~5000mL/min(sccm)。又,該步驟S5只要能將殘留在處理容器1內之氣體去除的話,可在不供給吹淨氣體且停止所有氣體供給的狀態下繼續真空抽氣而進行。但藉由供給吹淨氣體,可在短時間內將處理容器1內的殘留氣體去除。又,此時之處理容器1內壓力係例示0.133~665Pa。
依上述方式,藉由在步驟S3與步驟S4之間插入從處理容器1內將氣體去除之步驟S5以交互地且間歇地重複供給Si來源氣體與作為氧自由基之含氧電漿,可將SiO2 膜的薄膜一層一層地重複層積成特定的厚度。
將此時之反應例顯示於圖6。圖6係概要地顯示反應例。本反應例中例示了以BTBAS作為Si來源氣體之一例。
如圖6(a)所示,所堆積之SiO2 膜的表面具有OH基,於其供給有作為Si來源之例如BTBAS。然後,在使Si來源吸附之步驟(步驟S3)中,如圖6(b)所示,BTBAS的Si會與表面之OH基的O反應而脫離三甲基胺基酸。此時,具有2個胺基酸之胺基矽烷(BTBAS)與OH的反應性很強,且構造上不容易成為此種Si的反應障礙,故Si的吸附反應會很快地進行。此時脫離的三甲基胺基係藉由步驟S3而從處理容器1被去除。然後,接下來的氧化步驟(步驟S4)中,如圖6(c)所示,三甲基胺基脫離後之Si化合物會被如O2 氣體電漿之氧自由基氧化而成為SiO2 (但H會吸附在表面而形成OH基)。如此地利用如O2 氣體電漿的氧自由基之氧化反應與單純的化學反應不同故不需高溫而可在低溫下反應。
BTBAS係作為Si來源氣體使用的1個分子內具有2個胺基之胺基矽烷氣體。此種胺基矽烷氣體如上所述,除了上述BTBAS以外,可例舉有BDBAS(雙(二乙基胺基)矽烷)、BDMAS(雙(二甲基胺基)矽烷)。
又,Si來源氣體可利用1個分子內具有3個以上胺基之胺基矽烷氣體,亦可利用1個分子內具有1個胺基之胺基矽烷氣體。
進行本實施形態之成膜步驟時,係利用作為Si來源之含有有機矽的來源氣體,以及在氧化處理中反應不會使溫度上升而進行之如O2 氣體電漿的氧自由基,並交互地供給該等氣體,故可在100℃以下,更進一步地在稱為室溫之過去未曾考慮的低溫下且以高成膜率來形成優異膜質的SiO2 膜。
依上述方式,本實施形態雖原理上可在100℃以下(所謂的極低溫下)成膜,但亦可在較其要高的溫度下成膜。但考量到會因成膜溫度上升而使得膜厚的變異增加,以及對光阻圖案104b造成的熱影響,故成膜溫度最佳為100℃以下。
接下來,參照圖7及圖8,藉由進行本實施形態微細圖案形成方法的形狀加工步驟,加以說明可削減步驟之作用效果。
圖7係用以說明本實施形態之微細圖案形成方法的示意圖,係概要地顯示形狀加工步驟及成膜步驟中的微細圖案結構之剖面圖。圖8係用以說明本發明第1實施形態之微細圖案形成方法的示意圖,係概要地顯示以習知方法來進行形狀加工步驟時下,形狀加工步驟及成膜步驟中的微細圖案結構之剖面圖。圖7(a)、圖7(b)、圖7(c)係對應於圖2A(c)、圖2A(d)、圖2B(e),圖8(a)、圖8(b)、圖8(d)係對應於圖2A(c)、圖2A(d)、圖2B(e)。
本實施形態之微細圖案的形成方法中,圖2A(c)所示之圖案加工步驟進行後,如圖7(a)所示,光阻104被加工成光阻圖案104a之半導體晶圓W會被導入成膜裝置80內。接下來,如圖7(b)所示,於成膜裝置80內進行利用含氧氣體電漿或臭氧氣體將光阻圖案104a的形狀加工成光阻圖案104b之形狀加工步驟(成膜裝置內微細化處理步驟)。接下來,如圖7(c)所示,於成膜裝置80內接著進行於利用形狀加工步驟來進行形狀加工後之光阻圖案104b及有機膜103上,利用胺基矽烷系前驅物與含氧氣體電漿或臭氧氣體以形成SiO2 膜105之成膜步驟。之後,導入蝕刻裝置並進行圖2B(f)所示之蝕刻步驟。
此處,過去如圖8所示,係於圖2A(c)所示之圖案加工步驟進行後,如圖8(a)所示,光阻104被加工成光阻圖案104a之半導體晶圓W會被導入光阻塗佈裝置(或灰化裝置)81。接下來,如圖8(b)所示,於光阻塗佈裝置(或灰化裝置)81內進行利用光微影技術(或含氧氣體電漿)將光阻圖案104a的形狀加工成光阻圖案104b之形狀加工步驟。如圖8(c)所示,光阻104被加工成光阻圖案104b之半導體晶圓W會被導入成膜裝置80內。接下來,如圖8(d)所示,於成膜裝置80內進行利用形狀加工步驟來進行形狀加工後之光阻圖案104b及有機膜103上,利用胺基矽烷系前驅物與含氧氣體電漿或臭氧氣體以形成SiO2 膜105之成膜步驟。之後,半導體晶圓W會被導入蝕刻裝置,並進行圖2B(f)所示之蝕刻步驟。
此處,於光阻塗佈裝置內進行形狀加工步驟(塗佈裝置內微細化處理步驟)時,藉由於顯影裝置內以高溫進行顯影(高溫顯影處理),並於塗佈裝置內將含有酸之溶液塗佈在光阻圖案(氧化處理)後,進行熱處理以使酸擴散至光阻圖案中而在光阻圖案表面形成新的可溶層(酸擴散處理)後,再次於顯影裝置內進行可溶層的顯影(顯影處理),而進行光阻圖案的形狀加工。
比較圖7(a)至圖7(c),圖8(a)至圖8(d)之步驟,發現圖8所示之習知的微細圖案形成方法係較圖7所示之本實施形態微細圖案形成方法的步驟要多。亦即,本實施形態之微細圖案的形成方法可將過去在光阻塗佈裝置(或灰化裝置)進行之形狀加工步驟(微細化步驟),藉由利用具備用以於處理容器進行微細化步驟的機構(微細化步驟含氧氣體電漿或臭氧氣體之氧自由基供給機構)之成膜裝置來連續處理形狀加工步驟(微細化步驟)與成膜步驟,故可削減步驟。
又,習知的微細圖案形成方法係以在光阻塗佈裝置(或灰化裝置)進行形狀加工步驟(微細化步驟)的例子作為比較例而加以說明,但亦有以蝕刻裝置取代光阻塗佈裝置(或灰化裝置)來進行形狀加工步驟(修邊步驟)的例子,即使是利用該蝕刻裝置來進行的例子,本實施形態之微細圖案的形成方法亦可連續處理形狀加工步驟(微細化步驟)與成膜步驟,故可削減步驟。
又,本實施形態之光罩圖案的形成方法中,進行步驟S13而形成有由光阻膜104所構成的光阻圖案104a之基板101會在步驟S15(成膜步驟)中被導入形成有氧化矽膜105之成膜裝置內。接下來,於成膜裝置內進行利用含氧氣體電漿或臭氧氣體將光阻圖案104a的形狀微細化以形成光阻圖案104b之形狀加工步驟(微細化處理步驟)。接下來,於成膜裝置內接著將經微細化處理之光阻圖案104b表面等方向性地被覆。
如以上利用圖2A(b)至圖2A(d)所說明地,步驟S14中,光阻膜104所構成之光阻圖案104a的寬度尺寸LL4會減少為光阻圖案104b的寬度尺寸LL1。又,步驟S15中,藉由以膜厚為D之氧化矽膜105來將光阻圖案104b各線狀部的兩側覆蓋,則光阻圖案104b的寬度尺寸LL1會增加為LL1+D×2。又,可將步驟S14之微細化時間(圖5所示之T1)與步驟S15之成膜時間(圖5所示之T6=T3+T5+T4+T5+T3+...)分別單獨地微調整。具體來說,只要配步驟S14的微細化量來調整步驟S15的氧化矽膜成膜時間(MLD的情況下,為重複供給矽來源氣體與含氧氣體之次數)即可。
因此,由於可單獨地微調整微細化時間T1與成膜時間T6的比率,故可使步驟S17之第2圖案(光罩圖案)107的線距LL1與SS3為相同。其結果為,可將第2圖案(光罩圖案)107形成為間距為光阻圖案104b的大約一半且很密的等距配置之圖案。
具體來說,藉由使微細化時間T為10min可使LL1為10nm。又,藉由使圖5之T3為15sec、T4為30sec、T5為15sec,並重複50次,則可使成膜時間T6為50min、D為10nm。藉此,可將第2圖案(光罩圖案)107形成為很密的等距配置之圖案。
(微細化處理進行時之光阻圖案形狀控制)
接下來,參照圖9~圖16,針對本實施形態可一邊將尖端與根部間的寬度尺寸差保持在很小一邊進行微細化處理,以及可精密地控制微細化處理後的光阻圖案形狀之效果加以說明。以下測量了微細化處理步驟後的光阻圖案的寬度尺寸等並進行評估,加以說明其評估結果。
實施例1係針對進行圖案加工步驟後之光阻圖案,於成膜裝置內進行利用含氧氣體電漿之形狀加工步驟(成膜裝置內微細化處理步驟)後再進行矽氧化膜的成膜步驟。以下顯示實施例1之形狀加工步驟(成膜裝置內微細化處理步驟)及成膜步驟的條件。
(實施例1)
(A)成膜裝置內微細化處理
含氧氣體:O2 氣體
基板溫度:未加熱
成膜裝置內壓力:66.7~227Pa
氣體流量:5~30slm
高頻電源頻率:13.56MHz
高頻電源功率:50~500W
(B) 成膜處理
(1) 原料氣體供給條件
原料氣體:DIPAS
基板溫度:未加熱
成膜裝置內壓力:26.7~667Pa
氣體流量:50~1000sccm
供給時間:1~10sec
(2) 氧化氣體供給條件
氧化氣體:氧氣
基板溫度:未加熱
成膜裝置內壓力:66.7~227Pa
氣體流量:5~30slm
供給時間:5~30sec
高頻電源頻率:13.56MHz
高頻電源功率:50~500W
(3) 重複條件
總計循環數:140~150循環
圖9係顯示利用掃描式電子顯微鏡SEM(Scanning Electron Microscope)所攝得之實施例1中進行(A)成膜裝置內微細化處理後的光阻圖案照片。圖9(a)及圖9(b)係分別顯示從斜上方及正面所攝得的光阻圖案剖面之照片(左側),以及概要地說明該照片(右側)之圖式。如圖9(a)及圖9(b)所示,使光阻圖案的寬度尺寸為CD(與圖2B(e)所說明之LL1相等),高度尺寸為H。又,使尖端之光阻圖案的寬度尺寸為T,位於根部至尖端高度位置的一半之光阻圖案的寬度尺寸為M,根部之光阻圖案的寬度尺寸為B。又,比較例1係針對進行圖案加工步驟後的光阻圖案,藉由高溫顯影處理、氧化處理、酸擴散處理、顯影處理以進行形狀加工步驟(塗布裝置內微細化處理步驟)後,再進行矽氧化膜的成膜步驟。以下顯示比較例1之形狀加工步驟(塗佈裝置內微細化處理步驟)及成膜步驟的條件。
(比較例1)
(A) 塗佈裝置內微細化處理
(1) 高溫顯影處理條件顯影液:NMD3
處理溫度:23~50℃
處理時間:60sec
(2) 氧化處理條件
塗佈液:TK稀釋劑
迴轉速度:1000~1500rpm
處理時間:60sec
(3) 酸擴散處理條件
處理溫度:50~90℃
(4) 顯影處理條件
顯影液:NMD3
處理溫度:23~50℃
處理時間:60sec
(B) 成膜處理
與實施例1之(B)成膜處理相同
圖10係顯示利用SEM所攝得之比較例1中進行(A)成膜裝置內微細化處理後的光阻圖案照片。圖10(a)及圖10(b)係分別顯示從斜上方及正面所攝得的光阻圖案剖面之照片(左側),以及概要地說明該照片之圖式(右側)。光阻圖案的各尺寸(CD、H、T、M、B)的定義係與圖10(a)及圖10(b)所示、圖9(a)及圖9(b)所示之實施例1的定義相同。又,圖10係以和圖9相同的倍率拍攝。比較圖9與圖10,可清楚知道圖9之光阻圖案係較圖10之光阻圖案要大,且尖端與根部間的寬度尺寸差較小(尖端未變細)。接下來,針對進行實施例1、比較例1所獲得之光阻圖案的CD、H、T、M、B及尖端與根部間的寬度尺寸比率T/B,將具體的尺寸值顯示於表1。又,表1係顯示微細化處理前之光阻圖案的各寬度尺寸。
根據表1所示之各寬度尺寸,將進行成膜裝置內微細化處理後之光阻圖案的各尺寸與進行成膜裝置內微細化處理前的各尺寸或進行塗佈裝置內微細化處理後的各尺寸相比較,並顯示於圖11至圖14的圖式。圖11係顯示寬度尺寸CD,圖12係顯示高度尺寸H,圖13係顯示尖端與根部間的寬度尺寸比率T/B,圖14係顯示線寬粗糙度LWR(Line Width Roughness)。此處,線寬粗糙度LWR係指在圖9及圖10中表示沿著圖案延伸方向(Y方向)之數個部位的CD值變異之參數,以標準差為σ時相當於3×σ。如圖11所示,成膜裝置內微細化處理中,得知將高頻電源的功率調整在任一值時,相較於處理前雖然光阻圖案的寬度尺寸CD會減少,但不會像塗佈裝置內微細化處理減少那樣地多。又,如圖12所示,成膜裝置內微細化處理中,得知將高頻電源的功率調整在任一值時,相較於處理前雖然光阻圖案的高度尺寸H會減少,但不會像塗佈裝置內微細化處理減少那樣地多。又,如圖13所示,成膜裝置內微細化處理中,得知將高頻電源的功率調整在任一值時,相較於處理前及塗佈裝置內微細化處理後,光阻圖案尖端與根部間的尺寸比率T/B會增加而為接近1的值。亦即,相對於塗佈裝置內微細化處理,成膜裝置內微細化處理可減少尖端與根部間的寬度尺寸差。
又,如圖14所示,成膜裝置內微細化處理中,得知將高頻電源的功率調整在任一值時,相較於處理前光阻圖案的LWR係較小。又,雖然與塗佈裝置內微細化處理為相同程度的值,但由於塗佈裝置內微細化處理的CD減少幅度較大,因此LWR比率相對於CD減少幅度在成膜裝置內微細化處理中係較小。亦即,相對於塗佈裝置內微細化處理,成膜裝置內微細化處理可改善表示沿著圖案延伸方向(Y方向)的CD變異之LWR值。圖15係顯示利用SEM所攝得之實施例1中進行(B)成膜處理後的光阻圖案照片。圖15(a)及圖15(b)係分別顯示從斜上方及正面所攝得的光阻圖案剖面之照片(左側),以及概要地說明該照片之圖式(右側)。又,圖16係顯示利用SEM拍攝比較例1中進行(B)成膜處理後的光阻圖案之照片。圖16(a)及圖16(b)係分別顯示從斜上方及正面所攝得的光阻圖案剖面之照片(左側),以及概要地說明該照片之圖式(右側)。又,圖16係以和圖15相同的倍率拍攝。比較圖15與圖16,可清楚知道圖15之光阻圖案係較圖16之光阻圖案要大,且尖端與根部間的寬度尺寸差較小(尖端未變細)。亦即,圖15與圖16間之光阻圖案寬度尺寸的大小關係在圖15及圖16中亦被維持。因此,為了使光阻圖案尖端不會變細且形狀優異地進行微細化處理,成膜裝置內微細化處理係較塗佈裝置內微細化處理要佳。
以上,本實施形態之微細圖案的形成方法及成膜裝置可在處理容器內利用含氧氣體對光阻圖案進行微細化處理後,於同一處理容器內一邊交互地供給含有有機矽之來源氣體與氧自由基一邊形成矽氧化膜。因此,可在將光阻圖案表面保持清潔的狀態下形成矽氧化膜,並使微細圖案形狀的間距為解析限度以下之半導體基板的面內均勻性更佳。又,由於可削減使用用以進行光阻圖案微細化處理的處理裝置之步驟,故可降低微細圖案形成的製程成本。又,可將光阻圖案尖端與根部間的寬度尺寸差保持在很小同時進行微細化處理或成膜處理,且使沿著光阻圖案延伸方向之寬度尺寸變異變小。因此,可將光阻圖案尖端與根部間的寬度尺寸差保持在很小同時進行微細化處理或成膜處理,並形成形狀優異的微細圖案。又,本實施形態之含有有機矽的Si來源氣體係利用胺基矽烷氣體(例如BTBAS)加以說明,但利用其他的胺基矽烷氣體時及利用乙氧基矽烷氣體時亦可同樣地實施。
(第2實施形態)
接下來,參照圖17,說明第2實施形態之微細圖案的形成方法及微細圖案的形成方法所使用之成膜裝置。圖17係概要地顯示使用於本實施形態之微細圖案形成方法的成膜裝置結構之縱剖面圖。本實施形態之微細圖案的形成方法所使用之成膜裝置係具有臭氧氣體供給機構,這一點與第1實施形態之成膜裝置相異。如圖17所示,本實施形態之微細圖案形成方法所使用的成膜裝置80a的基本結構係與圖3所示之成膜裝置相同,特別是由於係具有含氧氣體供給機構14、Si來源氣體供給機構15與電漿產生機構30,因此可進行與第1實施形態中所說明之矽氧化膜105成膜相同的成膜。另一方面,成膜裝置80a具有含有臭氧氣體供給機構14a。含有臭氧氣體供給機構14a具有含有臭氧氣體供給源17a,該含有臭氧氣體供給源17a係經由流量控制器18d及開閉閥18c而連接於例如含氧氣體配管18。藉此,可將含有臭氧氣體供給至處理容器1內。微細化處理時係利用含有臭氧氣體(例如臭氧氣體)。利用臭氧氣體進行微細化處理時亦可不產生電漿。因此,只要將含有臭氧氣體,例如在電漿產生機構30對電漿電極33不施加高頻電壓的狀態下,經由分散噴嘴19供給至處理容器1內即可。
本實施形態之微細圖案形成方法所使用的成膜裝置80a除了含氧氣體供給機構14、Si來源氣體供給機構15及電漿產生機構30係具有含有臭氧氣體供給機構14a,因此可在處理容器1內利用含有臭氧氣體進行光阻圖案微細化處理後,於同一處理容器1內一邊交互地供給含有有機矽之來源氣體與氧自由基一邊形成矽氧化膜。因此,可在將光阻圖案表面保持清潔的狀態下形成矽氧化膜,並使微細圖案形狀的間距為解析限度以下之半導體基板的面內均勻性更佳。又,由於可削減使用用以進行光阻圖案微細化處理的處理裝置之步驟,故可降低微細圖案形成的製程成本。
(第3實施形態)
接下來,參照圖18~圖19C,說明本發明第3實施形態之微細圖案的形成方法。圖18係用以說明本實施形態之微細圖案形成方法的各步驟順序之流程圖。圖19A~圖19C係用以說明本實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖。又,進行圖18之步驟S21至步驟S30各步驟後的微細圖案結構係對應於圖19A(a)至圖19C(j)之各剖面圖所顯示的結構。本實施形態之微細圖案的形成方法係將在圖案有機膜上進行矽氧化膜的成膜製程應用在LLE製程,這一點與將矽氧化膜的成膜製程應用在SWT製程之第1實施形態相異。
亦即,如圖18所示,本實施形態之微細圖案的形成方法係在進行形成薄膜及反射防止膜之步驟、形成光阻膜之步驟、圖案加工步驟、形狀加工步驟及成膜步驟後,更進一步地進行形成反射防止膜之步驟、形成光阻膜之步驟、圖案加工步驟及形狀加工步驟,之後再進行蝕刻步驟。亦即,形成薄膜之步驟係包含步驟S21,形成光阻膜之步驟係包含第1次的步驟S22與第2次的步驟S27,圖案加工步驟係包含第1次的步驟S23與第2次的步驟S28,形狀加工步驟係包含第1次的步驟S24與第2次的步驟S29,成膜步驟係包含步驟S25,蝕刻步驟係包含步驟S30。步驟S21係於半導體基板上形成薄膜及反射防止膜之步驟。圖19A(a)係顯示進行步驟S21的步驟後的微細圖案結構之剖面圖。步驟S21中,如圖19A(a)所示,係於半導體基板151上形成薄膜152。薄膜152係之後將被加工成微細圖案之膜,可為SiN或SiO2 之絕緣膜或如導電性多晶矽之導電膜。亦即,薄膜152的材質未特別限制,可利用含有例如氮化矽、氧化矽、氧氮化矽、非晶矽或多晶矽之膜。本例中,係以SiN作為薄膜152。接著,在薄膜152上塗佈反射防止材料以形成反射防止膜(BARC)153。又,與第1實施形態同樣地,半導體基板151並非僅指半導體(例如矽基板),而是包含形成有對應於半導體基板內或半導體基板上所形成之半導體元件或積體電路圖案的導電膜與使該等絕緣的層間絕緣膜之結構體。
又,本實施形態之薄膜係相當於本發明之被蝕刻膜。步驟S22係形成光阻膜154之步驟。圖19A(b)係顯示步驟S22進行後的微細圖案結構之剖面圖。如圖19A(b)所示,於反射防止膜153上塗佈光阻,以形成光阻膜154。又,本實施形態之光阻膜154係相當於本發明之第1光阻膜。接下來,進行包含步驟S23之圖案加工步驟。步驟S23係將所成膜之光阻膜154曝光、顯影以形成由光阻膜154所構成的光阻圖案154a之步驟。又,圖19A(c)係顯示步驟S23進行後的微細圖案結構之剖面圖。如圖19A(c)所示,利用光微影技術將光阻膜加工成具有特定間距p1之光阻圖案154a。本實施形態中,光阻圖案154a的其中一例係以特定間距p1為曝光裝置的解析限度。又,本實施形態之光阻圖案154a及圖案加工步驟(步驟S23)係分別相當於本發明之第1光阻圖案及第1圖案加工步驟。又,本實施形態之間距p1係相當於本發明之第1間距。因此,步驟S23的圖案加工步驟(第1圖案加工步驟)係利用光微影技術將光阻膜加工成以第1間距排列配置的第1光阻圖案之步驟。
接下來,進行包含步驟S24之形狀加工步驟。步驟S24係對光阻膜154構成的光阻圖案154a進行微細化處理,以形成由光阻膜154所構成的光阻圖案154b之步驟(第1次微細化處理)。又,圖19A(d)係顯示步驟S24進行後的微細圖案結構之剖面圖。微細化處理的方法未特別限制,微細化處理條件的一例為在含有氧自由基或臭氧氣體之環境氣體中溫度為室溫~100℃又,本實施形態之形狀加工步驟(步驟S24)係相當於本發明之第1形狀加工步驟。又,本實施形態中,步驟S24係在用以進行之後接連著進行之步驟S25的成膜步驟之成膜裝置的處理容器內進行。接下來,進行包含步驟S25之成膜步驟。步驟S25係在經微細化處理之光阻圖案154b及反射防止膜153上,形成與薄膜152、反射防止膜153及光阻圖案154b相異的SiO2 膜155之步驟。圖19B(e)係顯示步驟S25進行後的微細圖案結構之剖面圖。步驟S25之SiO2 膜155的形成係為了在之後欲進行的第2次光微影步驟中保護光阻圖案154b。具體來說係一邊交互地供給含有有機矽之來源氣體與氧自由基一邊在經微細化處理之光阻圖案154b及反射防止膜153上形成SiO2 膜155。
又,本實施形態之成膜步驟中的成膜處理亦稱為硬化處理。又,SiO2 膜係相當於本發明之矽氧化膜。又,以下亦可取代SiO2 膜而為SiOx 膜或包含以矽與氧為主成分之其他組成的膜。又,亦可利用氧氮化矽膜(SiON膜)。接下來,進行步驟S26至步驟S28之第2次光微影。步驟S26係形成反射防止膜之步驟。圖19B(f)係顯示步驟S26進行後的微細圖案結構之剖面圖。步驟S26如圖19B(f)所示,係於SiO2 膜155上塗佈反射防止材料,以形成反射防止膜(BARC)156。又,係步驟S26。省略步驟S26時,步驟S21所形成之反射防止膜153係在第2次圖案加工步驟(步驟S28)曝光時作為反射防止膜而發揮功能。步驟S27係形成光阻膜157之步驟。圖19B(g)係顯示步驟S27進行後的微細圖案結構之剖面圖。如圖19B(g)所示,於反射防止膜156上塗佈光阻,以形成光阻膜157。又,本實施形態之光阻膜157係相當於本發明之第2光阻膜。
接下來,進行包含步驟S28之圖案加工步驟。步驟S28係將所成膜之光阻膜157曝光、顯影以形成由光阻膜157所構成之具有特定間距p2的光阻圖案157a之步驟。又,圖19B(h)係顯示步驟S28進行後的微細圖案結構之剖面圖。此時光阻圖案157a的間距為特定間距p2。又,本實施形態中,光阻圖案157a係與在第1次光微影經微細化處理之光阻圖案154a為相同線寬與間距(Line and Space)之圖案。再者,光阻圖案157a係設置在經微細化處理之光阻圖案154b間,而加工成光阻圖案154b與光阻圖案157a為交互地設置之狀態。又,本實施形態之光阻圖案157a及圖案加工步驟(步驟S28)係分別相當於本發明之第2光阻圖案及第2圖案加工步驟。又,本實施形態之間距p2係相當於本發明之第2間距,與間距p1(第1間距)差不多相等。因此,步驟S28的圖案加工步驟(第2圖案加工步驟)係利用光微影技術將第2光阻膜加工成以和第1間距幾乎相等的第2間距排列配置,且與第1間距的大約一半距離錯開而與第1光阻圖案交互地排列配置的第2光阻圖案之步驟。接下來,進行包含步驟S29之形狀加工步驟。步驟S29係對形成光阻圖案157a之光阻膜157進行微細化處理,以形成由光阻膜157所構成的光阻圖案157b之步驟(第2次微細化處理)。又,圖19C(i)係顯示步驟S29進行後的微細圖案結構之剖面圖。此處,微細化處理的方法亦未特別限制,微細化處理條件的一例為在含有氧自由基或臭氧氣體之環境氣體中溫度為室溫~100℃。藉由第2次微細化處理而形成由光阻圖案154b及157b所構成之光阻圖案。該光阻圖案係圖案154b與157b交互地設置之圖案,因此該間距p3會成為較間距p1及p2要狹窄之間距,本實施形態中係成為間距p1及p2的大約1/2之間距。依上述方式,藉由交互地設置分別形成之光阻圖案154b與157b,可形成間距為解析限度以下之光阻圖案。
又,本實施形態之形狀加工步驟(步驟S29)係相當於本發明之第2的形狀加工步驟。又,步驟S29可在形成矽氧化膜之成膜裝置內進行,亦可在與形成矽氧化膜之成膜裝置相異的裝置內進行。之後,進行步驟S30。如圖19C(j)所示,以光阻圖案154b及157b作為蝕刻遮罩來蝕刻反射防止膜156、153、SiO2 膜155及薄膜152,而將薄膜152加工成期望的微細圖案。首先,以經形狀加工後之光阻圖案(第1光阻圖案)154b及經形狀加工後之光阻圖案(第2光阻圖案)157b作為遮罩,來蝕刻反射防止膜156、SiO2 膜155及反射防止膜153。在省略反射防止膜156時,只要蝕刻SiO2 膜155及反射防止膜153即可。藉此形成以特定間距p1(第1間距)的大約一半間距排列配置之由光阻膜(第1光阻膜)154及光阻膜(第2光阻膜)157所構成的光罩圖案。接下來,利用該光罩圖案來蝕刻薄膜(被蝕刻膜)152,以形成由薄膜152所構成的薄膜圖案(由被蝕刻膜所構成的被蝕刻膜圖案)。
進行步驟S30所加工之薄膜152的間距p4會與光阻圖案154b及157b的間距p3與幾乎相同,因此可使薄膜152構成的微細圖案間距p4為解析限度以下。依上述方式,本實施形態可形成間距為解析限度以下之微細圖案。又,將形成形成反射防止膜153之步驟(步驟S21的一部份)至蝕刻SiO2 膜155及反射防止膜153以形成光罩圖案之步驟(步驟S30的一部份),定義為本發明之光罩圖案的形成方法。又,包含該光罩圖案的形成方法,將形成薄膜(被蝕刻膜)152之步驟(步驟S21的一部份)至蝕刻薄膜(被蝕刻膜)152之步驟(步驟S30),定義為本發明之微細圖案的形成方法。以上,本實施形態藉由在進行硬化處理(成膜步驟中之成膜處理)時,於用以進行硬化處理之成膜裝置內供給氧自由基以將光阻圖案進行微細化處理,並於經微細化處理之光阻圖案上及反射防止膜上交互地供給含有有機矽之來源氣體與氧自由基以形成矽氧化膜,可在將光阻圖案表面保持清潔的狀態下形成矽氧化膜,並使微細圖案形狀的間距為解析限度以下之半導體基板的面內均勻性更佳。又,由於可削減使用用以進行光阻圖案微細化處理的處理裝置之步驟,故可降低微細圖案形成的製程成本。
(第4實施形態)
接下來,參照圖3、圖5、圖20,說明本發明第4實施形態之微細圖案的形成方法。圖20係用以說明本實施形態之微細圖案形成方法的示意圖,係概要地顯示於Si來源氣體利用DIPAS時半導體基板上的反應之示意圖。本實施形態之微細圖案的形成方法係利用DIPAS作為Si來源氣體,這一點與利用BTBAS來作為Si來源氣體之第1實施形態相異。本實施形態係將晶圓載置在處理容器內並迴轉晶舟以進行形狀加工步驟(圖5之步驟S1),這一點與第1實施形態相同。又,於形狀加工步驟後、成膜步驟開始前進行將處理容器內吹淨之步驟(圖5之步驟S2)這一點與第1實施形態相同。接下來,進行成膜步驟。成膜步驟係與第1實施形態同樣地進行供給Si來源氣體(DIPAS)之步驟(圖5之步驟S3)與供給氧自由基之步驟(圖5之步驟S4)。具體來說,本實施形態之步驟S3係將DIPAS從氣體噴出孔22a經由Si來源氣體配管21及Si來源氣體分散噴嘴22以T3的時間供給至處理容器1內。藉此,使Si來源氣體吸附在半導體晶圓。此時之時間T3係例示1~600sec。又,DIPAS的流量係例示10~10000mL/min(sccm)。又,此時之處理容器1內壓力係例示0.133~13300Pa。又,基板溫度係例示室溫(25℃)~700℃,較佳為室溫(25℃)~500℃,更佳為室溫(25℃)~300℃。
步驟S4之氧自由基供給步驟中,將含氧氣體(例如O2 氣體)從含氧氣體供給機構14之含氧氣體供給源17經由含氧氣體配管18及含氧氣體分散噴嘴19自氣體噴出孔19a噴出,此時,起動電漿產生機構30的高頻電源35以形成高頻電場,並藉由該高頻電場來將含氧氣體(例如O2 氣體)電漿化。然後,將以上述方式電漿化之含氧氣體供給至處理容器1內。藉此,形成吸附在半導體晶圓W的Si來源被氧化之SiO2 。該處理時間T4係例示1~600sec的範圍。又,含氧氣體的流量係因半導體晶圓W的載置片數而不同,此處例示0.1~20000mL/min(sccm)。又,高頻電源35的頻率係例示13.56MHz,功率係採用10~1500W。又,此時之處理容器1內壓力係例示0.133~13300Pa。如圖5所示,於步驟S3與步驟S4間進行步驟S5係與第1實施形態同樣地藉由在步驟S3與步驟S4之間插入步驟S5以交互地且間歇地重複供給Si來源氣體與作為氧自由基之含氧電漿,則可將SiO2 膜的薄膜一層一層地重複層積成特定的厚度。將此時之反應例顯示於圖20。圖20係概要地顯示反應例。步驟S3的期間,亦即,將Si來源氣體供給至處理容器的期間,如圖20(a)至圖20(b)所示之狀態,所供給之DIPAS會與半導體晶圓W的表面反應,而使Si吸附在半導體晶圓W表面。
此處,由於係利用稱為DIPAS之1價的胺基矽烷來作為來源氣體,故在步驟S3被吸附的前驅物中不容易含有氮(N),且所成膜之矽氧化膜中不容易含有氮。因此,可形成優質的矽膜。又,係利用稱為DIPAS之1價的胺基矽烷來作為來源氣體,因此Si吸附時不易產生結構障礙,而不會妨礙到其他分子的吸附。因此,吸附速度不會變慢且生產性不會降低。更進一步地,由於係利用DIPAS來作為來源氣體,故熱穩定性佳,且容易控制流量。又,可使用習知來源供給方式之裝置,故具有泛用性。接下來,步驟S4的期間,亦即,將氧自由基供給至處理容器的期間,吸附在半導體晶圓W上的Si會從圖20(c)所示之狀態被氧化,而如圖20(d)所示在半導體晶圓W上形成矽氧化膜。再者,如圖5所示,在步驟S3與步驟S4插入步驟S5並重複進行時,如圖20(e)所示DIPAS會被吸附在半導體晶圓W的表面,接著如圖20(f)所示Si會被吸附,藉由將被吸附之Si氧化而更進一步地形成矽氧化膜。其結果為,可在半導體晶圓W上形成期望厚度的矽氧化膜。
本實施形態中,即便是以可形成優質矽膜之DIPAS作為Si來源氣體而利用之微細圖案的形成方法中,藉由利用具有於處理容器進行微細化步驟(利用光阻塗佈裝置所進行之形狀加工步驟)的機構(供給含氧氣體電漿或臭氧氣體之氧自由基供給機構)之成膜裝置,亦可連續處理形狀加工步驟(微細化步驟)與成膜步驟。因此,由於可削減使用用以進行光阻圖案微細化處理的處理裝置之步驟,故可降低微細圖案形成的製程成本。
(第5實施形態)
接下來,參照圖21至圖27,說明本發明第5實施形態之微細圖案的形成方法。圖21係用以說明本實施形態之微細圖案形成方法的各步驟順序之流程圖。圖22A及圖22B係用以說明本實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖。又,圖21之步驟S111至步驟S117及步驟S118各步驟進行後的微細圖案結構係對應於圖22A(a)至圖22B(g)及圖22B(h)的各剖面圖所示之構造。本實施形態之微細圖案形成方法中的氧化膜係形成鋁氧化膜來取代矽氧化膜,這一點與第1實施形態相異。
如圖21所示,本實施形態之微細圖案的形成方法係包含:於半導體基板上形成薄膜之步驟;於薄膜上形成光阻膜之形成步驟;利用光微影技術將光阻膜加工成以第1間距排列配置的光阻圖案(第1光阻圖案(線狀部))之圖案加工步驟(第1圖案加工步驟);加工第1光阻圖案的形狀之形狀加工步驟(第1形狀加工步驟);供給來源氣體與氧自由基,並於利用形狀加工步驟(第1形狀加工步驟)來進行形狀加工後之光阻圖案(第1光阻圖案)及薄膜上,在常溫下形成鋁氧化膜之成膜步驟;蝕刻步驟;以及去除光阻圖案並蝕刻有機膜之步驟。形成薄膜之步驟係包含步驟S111,形成光阻膜之步驟係包含步驟S112,圖案加工步驟係包含步驟S113,形狀加工步驟係包含步驟S114,成膜步驟係包含步驟S115,蝕刻步驟係包含步驟S116,去除光阻圖案並蝕刻有機膜之步驟係包含步驟S117,蝕刻薄膜之步驟係包含步驟S118。又,圖21之蝕刻步驟係相當於本發明之氧化膜蝕刻步驟。又,本實施形態與第1實施形態相異,如圖21所示,步驟S114與步驟S115可在同一反應室(處理容器)內連續處理,但亦可不在同一反應室(處理容器)內連續處理。亦可不在同一反應室(處理容器)內連續處理係因為如後所述地形成鋁氧化膜時不需在反應室內產生電漿,因此光阻膜不會因被電漿灰化而造成形狀變化。步驟S111係於半導體基板上形成薄膜之步驟,與第1實施形態之步驟S11相同。圖22A(a)係顯示步驟S111進行後的微細圖案結構之剖面圖。步驟S111中,如圖22A(a)所示,係於半導體基板201上,由下至上依序形成薄膜202、有機膜203。半導體基板201、薄膜202、有機膜203係與第1實施形態之半導體基板101、薄膜102、有機膜103相同。又,本實施形態之薄膜及有機膜係分別相當於本發明之被蝕刻膜、反射防止膜。
薄膜202的材質未特別限制,可利用包含例如氮化矽、氧化矽、氧氮化矽、非晶矽或多晶矽的膜。步驟S112係形成光阻膜204之步驟,與第1實施形態之步驟S12相同。圖22A(b)係顯示步驟S112進行後的微細圖案構造之剖面圖。光阻膜204係與第1實施形態之光阻膜104相同。接下來,進行包含步驟S113之圖案加工步驟。步驟S113係將所成膜之光阻膜204曝光、顯影而形成由光阻膜204所構成光阻圖案204a(線狀部)之步驟,與第1實施形態之步驟S13相同。圖22A(c)係顯示步驟S113進行後的微細圖案構造之剖面圖。如圖22A(c)所示,係形成具有線狀部的線寬LL4及線距SS4之由光阻膜204所構成的光阻圖案204a。又,本實施形態中,係將線寬為LL4之構成光阻圖案的每一條線定義為線狀部。因此,本實施形態之圖案加工步驟係利用光微影技術來將光阻膜加工成包含有以特定的間距排列配置之線狀部的光阻圖案之步驟。接下來,進行包含步驟S114之形狀加工步驟。步驟S114係對光阻膜204構成的光阻圖案204a進行微細化處理,以形成由光阻膜204所構成的光阻圖案204b(線狀部)之步驟。又,圖22A(d)係顯示步驟S114進行後的微細圖案結構之剖面圖。
本實施形態與第1實施形態同樣地,可在用以進行之後接連著進行步驟S115的成膜步驟之成膜裝置的處理容器內進行步驟S114。又,亦可在與用以進行步驟S115的成膜步驟之成膜裝置的處理容器相異的處理容器內進行。又,微細化處理的方法可與第1實施形態同樣地進行,經微細化處理所形成之光阻圖案204b的線寬LL1及線距SS1為LL1<LL4、SS1>SS4。接下來,進行包含步驟S115之成膜步驟。步驟S115係在經微細化處理之光阻圖案204b及有機膜203上形成Al2 O3 膜205之成膜步驟。又,圖22B(e)係顯示步驟S115進行後的微細圖案結構之剖面圖。此外,Al2 O3 膜(氧化鋁膜)係相當於本發明之鋁氧化膜。又,以下亦可取代Al2 O3 膜而為AlOx 膜或包含以鋁與氧為主成分之其他組成的膜。或只要是可在室溫下成膜且成膜時對光阻圖案不會造成損傷之氧化膜,亦可利用鋁以外的金屬氧化膜。Al2 O3 膜205之成膜步驟係在對高溫較為脆弱的光阻膜204作為光阻圖案204b而殘留的狀態下進行,故較佳係在低溫下成膜。成膜方法只要是能在低溫下成膜則未特別限制,本實施形態亦可利用低溫MLD來進行。其結果為,如圖22B(e)所示,包含形成有光阻圖案204b的部位及未形成有光阻圖案204b的部位,會在基板整面形成Al2 O3 膜205,而在光阻圖案104b的側面亦會形成如同將光阻圖案204b的側面覆蓋般的Al2 O3 膜205。若使此時之Al2 O3 膜205的厚度為D時,則覆蓋光阻圖案204b的側面之Al2 O3 膜205的寬度亦會為D,D可為例如30nm。
此處,說明利用低溫MLD之Al2 O3 膜的成膜步驟。低溫MLD係交互地重複將含鋁之原料氣體供給至處理容器內以使鋁原料吸附在基板上之步驟,以及將含有臭氧之氣體供給至處理容器內以使鋁原料氧化之步驟。具體來說,使含鋁之原料氣體吸附在基板上之步驟係以例如三甲基鋁(以下,稱為TMA)作為含鋁之原料氣體,並經由鋁原料氣體之供給噴嘴在特定時間內(圖25中為後述之T3' )供給至處理容器內。藉此,使TMA吸附在基板上。接下來,在將含有臭氧之氣體供給至處理容器內以使鋁材料氧化之步驟中,係將臭氧氣體經由氣體供給噴嘴在特定時間內(圖25中為後述之T4' )供給至處理容器內。藉此,使基板上吸附在之TMA氧化而形成Al2 O3 膜205。又,與第1實施形態同樣地,在切換上述使含鋁之原料氣體吸附在基板上之步驟與將氧化性高的氣體供給至處理容器內以使鋁材料氧化之步驟時,各個步驟之間為了去除前一個步驟的殘留氣體,可一邊將處理容器內真空排氣一邊進行將例如N2 氣體等不活性氣體構成的吹淨氣體在特定時間內供給至處理容器內之步驟(在圖25中為後述之T5)。
本實施形態係利用含有有機鋁化合物之來源氣體來作為用以形成Al2 O3 膜205之Al來源氣體。含有有機鋁化合物之Al來源氣體例如有三烷基鋁。三烷基鋁的具體例子有例如TMA(三甲基鋁)、TEAL(三乙基鋁)、TIBA(三異丁基鋁)、DEAC(一氯二乙基鋁)、EASC(三氯三乙基化二鋁)、EADC(二氯化乙鋁)、TNOA(三正辛基鋁)。另一方面,氧化性高的氣體可利用例如O3 氣體(臭氧氣體)、水蒸氣氧化處理(WVG;Water Vapor Generation)氣體。WVG氣體只要是所供給之氣體中含有H2 O氣體(水蒸氣)即可,可利用將含有H2 O氣體(水蒸氣)之氣體、含有預先將H2 氣體(氫氣)與O2 氣體(氧氣)在高溫下混合所產生的水蒸氣之氣體、或藉由將H2 氣體(氫氣)或O2 氣體(氧氣)在水中產生氣泡以利用水蒸氣使其飽和之氣體等。接下來,進行包含步驟S116之蝕刻步驟。步驟S116係以只有Al2 O3 膜205作為光阻圖案204b的側壁部205a而殘留之方式來蝕刻(回蝕刻)之蝕刻步驟,與第1實施形態之步驟S16相同。圖22B(f)係顯示步驟S116進行後的微細圖案結構之剖面圖。係形成由光阻圖案204b及側壁部205a所構成之第三圖案206。使第3圖案206的線寬LL3,線距為SS3時,則LL3=LL1+D×2,SS3=LL1+SS1-LL3。
又,藉由進行蝕刻步驟,氧化膜會在經形狀加工之光阻圖案線狀部的側面作為側壁而殘留之方式被蝕刻。因此,蝕刻步驟係氧化膜會在經形狀加工之光阻圖案線狀部的側面作為側壁而殘留之蝕刻氧化膜的步驟。步驟S117係去除光阻圖案204b以形成側壁部205a之步驟,並更進一步地以所形成之側壁部205a作為遮罩來蝕刻有機膜203之步驟。亦即,步驟S117係鋁氧化膜在光阻圖案(第1光阻圖案(線狀部))的側面作為側壁而殘留來將鋁氧化膜蝕刻之氧化膜蝕刻步驟,與第1實施形態之步驟S17相同。又,圖22B(g)係顯示步驟S117進行後的微細圖案結構之剖面圖。係形成線寬D、線距LL1及SS3交互地顯現之圖案(第2圖案207)。又,與第1實施形態同樣地,使線寬為與LL1及SS3相等之SS2,則可使與D相等之線寬為LL2。又,第2圖案207係由側壁部205a及有機膜(反射防止膜)203所構成,相當於本發明之光罩圖案。之後,以第2圖案207作為遮罩來蝕刻薄膜202係與第1實施形態相同。亦即,進行步驟S118。步驟S118中,係利用第2圖案(光罩圖案)207來加工薄膜(被蝕刻膜)202,如圖22B(h)所示,會形成由薄膜(被蝕刻膜)202所構成之圖案202a。圖案202a的上方可殘留有機膜(反射防止膜)203。薄膜202之蝕刻可利用含有CF系氣體、CHF系氣體、CH系氣體或氧氣等氣體來進行。
又,將形成有機膜(反射防止膜)203之步驟(步驟S111的一部份)至形成由側壁部205a及有機膜(反射防止膜)203所構成的光罩圖案之步驟(步驟S117),定義為本發明之光罩圖案的形成方法。又,包含該光罩圖案的形成方法,將形成薄膜(被蝕刻膜)202之步驟(步驟S111的一部份)至形成由薄膜(被蝕刻膜)202所構成的圖案202a之步驟(步驟S118),定義為本發明之微細圖案的形成方法。接下來,參照圖3、圖4、圖23及圖24說明使用於本實施形態之微細圖案的形成方法之成膜裝置。圖23係概要地顯示使用於本實施形態之微細圖案形成方法的成膜裝置結構之縱剖面圖。圖24係概要地顯示使用於本實施形態之微細圖案形成方法的成膜裝置結構之橫剖面圖。又,圖24係省略加熱裝置。利用圖3及圖4所示之成膜裝置80來進行本實施形態之成膜步驟時,與第1實施形態所說明的相同,可在同一成膜裝置中連續處理形狀加工步驟(微細化處理)及成膜步驟(成膜處理)。另一方面,本實施形態之成膜步驟亦可在與用以進行微細化處理之處理容器相異的處理容器內進行。將此種成膜裝置例示於圖23及圖24。
圖23及圖24所示之成膜裝置80b係將圖3及圖4所示之成膜裝置80中的電漿產生機構30及其關連部分去除。頂板2、分歧管3、密封組件4、晶舟5、支柱6、保溫筒7、載置台8、蓋部9、迴轉軸10、磁性流體密封件11、密封組件12、臂部13、吹淨氣體供給機構16、排氣口37、排氣口覆蓋組件38、氣體出口39、加熱裝置40、控制器50、使用者介面51及記憶部52係與成膜裝置80的各構成組件相同。另一方面,成膜裝置80b係設置有臭氧氣體供給機構14b來取代成膜裝置80之含氧氣體供給機構14。臭氧氣體供給機構14b具有臭氧氣體供給源17b、從臭氧氣體供給源17b引導臭氧氣體之臭氧氣體配管18e、以及連接於該臭氧氣體配管18e並將分歧管3的側壁向內側貫穿後向上方彎曲且垂直地延伸之石英管所構成的臭氧氣體分散噴嘴19b。臭氧氣體分散噴嘴19b的垂直部分形成有相距特定間隔之複數個氣體噴出孔19c,可從各氣體噴出孔19c水平地朝處理容器1b內略均勻地噴出含臭氧氣體。又,成膜裝置80b係設置有A1來源氣體供給機構15b來取代成膜裝置80之Si來源氣體供給機構15。A1來源氣體供給機構15b具有A1來源氣體供給源20b、從該A1來源氣體供給源20b引導A1來源氣體之A1來源氣體配管21c、以及連接於該A1來源氣體配管21c並將分歧管3的側壁向內側貫穿後向上方彎曲且垂直地延伸之石英管所構成的A1來源氣體分散噴嘴22b。A1來源氣體分散噴嘴22b形成有沿著其長度方向相距特定間隔之複數個氣體噴出孔22c,可從各氣體噴出孔22c水平地朝處理容器1b內略均勻地噴出含有有機鋁之A1來源氣體。又,如圖24所示,可設置2根A1來源氣體分散噴嘴22b,亦可只設置1根。
又,臭氧氣體供給機構14b係相當於本發明之氧自由基供給機構,Al來源氣體供給機構15b係相當於本發明之來源氣體供給機構。臭氧氣體配管18e、Al來源氣體配管21c、吹淨氣體配管24分別設置有開閉閥18f、21d、24a及如質流控制器(Mass Flow Controller)之流量控制器18g、21e、24b,以分別控制流量並供給臭氧氣體、Al來源氣體及吹淨氣體。又,成膜裝置80b未設置有相當於成膜裝置80之形成含氧氣體電漿的電漿產生機構30之組件。因此,處理容器1b可不同於成膜裝置80的處理容器1,其側壁的一部份不需為向外側凹陷成凹部狀之狀態。又,臭氧氣體分散噴嘴19b亦不需為自處理容器1b內向上方延伸的途中向處理容器1b的半徑外側彎曲之結構。又,在形成本實施形態之鋁氧化膜時未使用加熱裝置40,故可省略。接下來,參照圖25,說明本實施形態之微細圖案形成方法的形狀加工步驟及成膜步驟中處理。圖25係用以說明本實施形態之微細圖案形成方法的示意圖,係顯示形狀加工步驟及成膜步驟中氣體的供給時間點之時序圖。
如圖25所示,本實施形態之微細圖案形成方法之形狀加工步驟及成膜步驟中,除了利用Al來源氣體來取代Si來源氣體並利用臭氧氣體來取代含氧氣體,以及成膜步驟中未使用電漿以外,氣體供給的時間點係與第1實施形態相同。步驟S1及S2係與第1實施形態相同。但利用未具有圖23及圖24所示的電漿產生機構之成膜裝置來進行成膜步驟時,步驟S1係在具有電漿產生機構之別的處理容器內進行,步驟S2中係將晶圓搬入成膜裝置並供給吹淨氣體。之後,交互地重複進行使含有有機鋁之Al來源氣體流入處理容器1b內以使Al來源吸附之步驟S3' ,以及將臭氧氣體供給至處理容器1b以氧化Al來源氣體之步驟S4' 。具體來說,本實施形態之步驟S3' 係從Al來源氣體供給機構15b之Al來源氣體供給源20b將Al來源氣體(例如TMA)經由Al來源氣體配管21c及Al來源氣體分散噴嘴22b自氣體噴出孔22c並以T3' 的時間供給至處理容器1b內。藉此,使Al來源氣體吸附在半導體晶圓。此時之時間T3' 係例示1~600sec。又,Al來源氣體的流量係例示10~500mL/min(sccm)。又,此時之處理容器1b內壓力係例示13.3~665Pa。
步驟S4' 之臭氧氣體供給步驟中,係從臭氧氣體供給機構14b之臭氧氣體供給源17b將臭氧氣體經由臭氧氣體配管18e及臭氧氣體分散噴嘴19c自氣體噴出孔19c噴出。藉此,形成吸附在半導體晶圓W的Al來源被氧化之Al2 O3 。該處理時間T4' 係例示1~600sec的範圍。又,臭氧氣體的流量係例示100~20000mL/min(sccm)。又,此時之處理容器1b內壓力係例示13.3~665Pa。又,可利用上述水蒸氣氧化處理氣體來取代臭氧氣體。又,在步驟S3' 與步驟S4' 間進行的步驟S5係與第1實施形態相同。進行本實施形態之成膜步驟時,係利用含有有機鋁化合物之來源氣體來作為A1來源,以及在氧化處理中反應不會使溫度上升而進行之臭氧氣體或水蒸氣氧化處理氣體,並交互地供給該等氣體,故可在100℃以下或稱為室溫之過去未曾考慮的低溫下形成優異膜質的Al2 O3 膜。在與成膜步驟相同的成膜裝置之處理容器內進行本實施形態之形狀加工步驟時,係具有可削減第1實施形態中利用圖7及圖8說明的步驟之作用效果。
(進行成膜處理時之光阻圖案形狀控制效果)
接下來,參照圖26及表2,針對本實施形態中,利用形狀加工步驟來進行形狀加工後的光阻圖案形狀在成膜步驟前後不會發生變化,且可精密地控制光阻圖案形狀之效果加以說明。以下測量了成膜步驟後的光阻圖案寬度尺寸等並進行評估,加以說明其評估結果。圖26係實施例2及比較例2中形成鋁氧化膜後所攝得的光阻圖案照片及說明該照片之圖式。實施例2係進行將A1來源氣體與臭氧氣體交互地供給至進行形狀加工步驟後之光阻圖案上,以形成鋁氧化膜之成膜步驟。又,比較例2係進行將Si來源氣體與含氧氣體電漿交互地供給至進行形狀加工步驟後之光阻圖案上,以形成矽氧化膜之成膜步驟。以下顯示實施例2及比較例2之成膜步驟的成膜條件。
(實施例2)
(1) 原料氣體供給條件
原料氣體:TMA
基板溫度:未加熱
成膜裝置內壓力:39.9Pa
氣體流量:100sccm
供給時間:5sec
(2) 氧化氣體供給條件
氧化氣體:臭氧氣體+氧氣
基板溫度:未加熱
成膜裝置內壓力:133Pa
臭氧氣體流量:200g/m3
氧氣流量:10.0slm
供給時間:15sec
(3) 重複條件
總計循環數:210循環
(比較例2)
(1) 原料氣體供給條件
原料氣體:DIPAS
基板溫度:未加熱
成膜裝置內壓力:26.7~227Pa
氣體流量:50~1000sccm
供給時間:1~10sec
(2) 氧化氣體供給條件
氧化氣體:氧氣
基板溫度:未加熱
成膜裝置內壓力:66.7~227Pa
氣體流量:5~30slm
供給時間:5~30sec
高頻電源頻率:13.56MHz
高頻電源功率:50~500W
(3) 重複條件
總計循環數:140~150循環
圖26係顯示利用SEM所攝得之實施例2及比較例2中形成氧化膜後的光阻圖案照片。圖26(a)及圖26(b)係分別顯示所攝得之形成鋁氧化膜後(實施例2)及形成矽氧化膜後(比較例2)的光阻圖案剖面之照片(左側),以及概要地說明該照片之圖式(右側)。如圖26(a)及圖26(b)所示,使氧化膜的膜厚為D,光阻圖案的高度尺寸為H,尖端之光阻圖案的寬度尺寸為T,位於根部至尖端高度位置的一半之光阻圖案的寬度尺寸為M,根部之光阻圖案的寬度尺寸為B。
接下來,針對進行實施例2及比較例2所獲得之光阻圖案的H、T、M、B及尖端與根部間的寬度尺寸比率T/B,將具體的尺寸值顯示於表2。又,如表2所示,實施例2及比較例2中D係分別為30nm。
如表2所示,形成鋁氧化膜時,光阻圖案的高度尺寸H以及尖端、高度的一半、根部各位置之寬度尺寸T、M、B值係較形成矽氧化膜時要大。亦即,形成鋁氧化膜前後的光阻圖案尺寸減少量係較形成矽氧化膜前後的光阻圖案尺寸減少量要少。又,如表2所示,形成鋁氧化膜時係較形成矽氧化膜時,光阻圖案的尖端與根部間的寬度尺寸比率T/B會增加而達到接近1的值。亦即,相對於形成矽氧化膜時,形成鋁氧化膜時可使尖端與根部間的寬度尺寸差減少。
(成膜處理進行時,減少光阻圖案所受損傷之效果)
接下來,參照圖27及表3,針對本實施形態中,利用形狀加工步驟來進行形狀加工後之光阻圖案在成膜步驟中受到的損傷(damage)會減少之效果加以說明。以下係在實施實施例3、4以形成光阻膜後,不加工光阻膜的形狀,而是在形成氧化膜後測量光阻膜的膜厚,並與比較例3相比較並進行評估,針對其評估結果加以說明。圖27係概要地顯示實施例3、4及比較例3中用以測量光阻灰化量之薄膜結構的剖面圖。實施例3如圖27(a)所示,係於半導體基板201上形成厚度約300nm的光阻膜204,並測量光阻膜204的膜厚而以其為HP1。接下來,進行將A1來源氣體與臭氧氣體交互地供給至光阻膜204上以形成Al2 O3 膜205之成膜步驟。此時,改變交互地供給Al來源氣體與臭氧氣體之供給循環,而將Al2 O3 膜205的膜厚HA改變為5、10、15、20nm。接下來,進行氟酸(HF)洗淨以去除Al2 O3 膜205,並測量露出在表面的光阻膜204膜厚而以其為HP2。以從光阻膜厚減少量所求得之灰化量為HP1與HP2的差(HP1-HP2)。實施例3的(1)原料氣體供給條件、(2)氧化氣體供給條件係與實施例2相同,(3)重複條件為35~140循環。又,實施例4係利用水蒸氣氧化處理氣體來取代實施例3之臭氧氣體而實施。又,實施例4中只進行Al2 O3 膜205的膜厚HA為10nm之一例。又,比較例3如圖27(b)所示,係於半導體基板101上形成厚度約300nm的光阻膜104,並測量光阻膜104的膜厚而以其為HP1。接下來,進行將Si來源氣體與含氧氣體交互地供給至光阻膜104上以形成SiO2 膜105之成膜步驟。此時,使SiO2 膜105的膜厚為HS。接下來,進行氟酸(HF)洗淨以去除SiO2 膜105,並測量露出在表面的光阻膜104膜厚而以其為HP2。與實施例3同樣地,以從光阻膜厚減少量所求得之灰化量為HP1與HP2的差(HP1-HP2)。比較例3的(1)原料氣體供給條件、(2)氧化氣體供給條件係與比較例2相同,(3)重複條件係為20~25循環。
接下來,針對實施例3中Al2 O3 膜各膜厚的例子,以及進行實施例4及比較例3所獲得之灰化量HP1-HP2,將具體的尺寸值顯示於表3。
如表3所示,形成鋁氧化膜時,利用臭氧氣體、水蒸氣氧化處理氣體其中一者時,灰化量HP1-HP2係較形成矽氧化膜時要小。又,利用臭氧氣體時灰化量HP1-HP2係無關於鋁氧化膜的膜厚HA而為幾乎相等。亦即,灰化量並不會隨著鋁氧化膜之成膜時間、成膜厚度的增加而增加。因此,光阻不會因鋁氧化膜成膜本身而被灰化。被認為此係因為形成鋁氧化膜時不會產生氧電漿的緣故。
以上,本實施形態係較形成氧化矽之第1實施形態,其利用形狀加工步驟來進行加工後的光阻圖案形狀在成膜步驟前後不會發生變化,故可達成可精密地控制光阻圖案形狀之效果。
又,如利用例如圖23及圖24所說明的,本實施形態即使是在與進行成膜步驟之成膜裝置相異的處理容器內進行形狀加工步驟,亦可精密地控制光阻圖案形狀。
(第6實施形態)
接下來,參照圖28~圖29C,說明本發明第6實施形態之微細圖案的形成方法。
圖28係用以說明本實施形態之微細圖案形成方法的各步驟順序之流程圖。圖29A至圖29C係用以說明本實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖。又,進行圖28之步驟S121至步驟S130各步驟後的微細圖案結構係對應於圖29A(a)至圖29C(j)之各剖面圖所顯示的結構。
本實施形態之微細圖案的形成方法係形成鋁氧化膜來取代矽氧化膜,這一點與第3實施形態相異。
本實施形態之微細圖案的形成方法係與第3實施形態同樣地,如圖28所示,包含:於半導體基板上形成薄膜及反射防止膜之步驟;於薄膜及反射防止膜上形成光阻膜之步驟;利用光微影技術加工成以第1間距排列配置的光阻圖案(第1光阻圖案(線狀部))之圖案加工步驟(第1圖案加工步驟);加工光阻圖案(第1光阻圖案)的形狀之形狀加工步驟(第1形狀加工步驟);供給來源氣體與含氧氣體,並於利用形狀加工步驟(第1形狀加工步驟)來進行形狀加工後之光阻圖案(第1光阻圖案)及薄膜上,在常溫下形成鋁氧化膜之成膜步驟。然後,之後於鋁氧化膜上,進行形成反射防止膜之步驟;形成光阻膜(第2光阻膜)之步驟;形成光阻膜(第2光阻膜)之步驟;以及利用光微影技術,將光阻膜(第2光阻膜)加工成以和第1間距幾乎相等的第2間距排列配置,且與第1間距的大約一半距離錯開而與光阻圖案(第1光阻圖案)交互地排列配置的光阻圖案(第2光阻圖案)之圖案加工步驟(第2圖案加工步驟)。然後,之後更進一步地進行蝕刻步驟。又,形成薄膜之步驟係包含步驟S121,形成光阻膜之步驟係包含第1次的步驟S122與第2次的步驟S127,圖案加工步驟係包含第1次的步驟S123與第2次的步驟S128,形狀加工步驟係包含第1次的步驟S124與第2次的步驟S129,成膜步驟係包含步驟S125,蝕刻步驟係包含步驟S130。
步驟S121係與第3實施形態之步驟S21相同的步驟,如圖29A(a)所示,係於半導體基板251上形成薄膜252。薄膜252係在之後被加工成微細圖案之膜,可為SiN或SiO2 之絕緣膜或如導電性多晶矽之導電膜,薄膜252亦可為SiN這一點係與第3實施形態相同。亦即,薄膜252的材質未特別限制,可利用含有例如氮化矽、氧化矽、氧氮化矽、非晶矽或多晶矽之膜。又,半導體基板251亦可包含形成有導電膜、層間絕緣膜之結構體,於薄膜252上塗佈反射防止材料以形成反射防止膜(BARC)253這一點係與第3實施形態相同。
又,本實施形態之薄膜相當於本發明之被蝕刻膜。
步驟S122係與第3實施形態之步驟S22相同的步驟,如圖29A(b)所示,係於反射防止膜253上塗佈光阻以形成光阻膜254。
步驟S123係與第3實施形態之步驟S23相同的步驟,如圖29A(c)所示,係利用光微影技術將光阻膜254曝光、顯影,以形成具有特定間距p1之光阻圖案254a。
又,本實施形態之光阻圖案254a及圖案加工步驟(步驟S123)分別相當於本發明之第1光阻圖案及第1圖案加工步驟。又,本實施形態之間距p1係相當於本發明之第1間距。因此,步驟S123的圖案加工步驟(第1圖案加工步驟)係利用光微影技術來將光阻膜加工成以第1間距排列配置的第1光阻圖案之步驟。
步驟S124係與第3實施形態之步驟S24相同的步驟,如圖29A(d)所示,係對光阻膜254所構成光阻圖案254a進行微細化處理,以形成由光阻膜254所構成的光阻圖案254b之步驟(第1次微細化處理)。微細化處理的方法未特別限制,可在含有氧自由基或臭氧氣體之環境氣體中進行,溫度為室溫~100℃。
又,本實施形態之形狀加工步驟(步驟S124)係相當於本發明之第1形狀加工步驟。
又,步驟S124可在用以進行之後接連著進行步驟S125的成膜步驟之成膜裝置的處理容器內進行,亦可在與用以進行步驟S125的成膜步驟之成膜裝置相異的處理容器內進行。
步驟S125係與第3實施形態之步驟S25相同的步驟,如圖29B(e)所示,係於經微細化處理之光阻圖案254b及反射防止膜253上,形成與薄膜252、反射防止膜253及光阻圖案254b相異的Al2 O3 膜255之步驟。
步驟S125之Al2 O3 膜255的形成係為了在之後欲進行的第2次光微影步驟中保護光阻圖案254b。具體來說與第5實施形態所說明的同樣地,係一邊交互地供給含有有機鋁之來源氣體與臭氧氣體(或水蒸氣氧化處理氣體)一邊在經微細化處理之光阻圖案254b及反射防止膜253上形成Al2 O3 膜255。
又,步驟S125之成膜步驟中的成膜處理亦稱為硬化處理,這一點與第3實施形態相同。又,Al2 O3 膜係相當於本發明之鋁氧化膜,又,可取代Al2 O3 膜而為AlOx 膜或包含以鋁與氧為主成分之其他組成的膜這一點係與第5實施形態相同。又,只要是可在室溫下成膜且成膜時對光阻圖案不會造成損傷之氧化膜而亦可利用鋁以外的金屬氧化膜這一點係與第5實施形態相同。
步驟S126係與第3實施形態之步驟S26相同的步驟,如圖29B(f)所示,於Al2 O3 膜255上塗佈反射防止材料以形成反射防止膜(BARC)256。但步驟S125後,亦可省略步驟S126之第2次反射防止膜256的形成,而進行步驟S127。
又,省略步驟S126時,在步驟S121中所形成之反射防止膜253係在第2次圖案加工步驟(步驟S128)之曝光時,作為反射防止膜而發揮功能。
步驟S127係與第3實施形態之步驟S27相同的步驟,如圖29B(g)所示,於Al2 O3 膜255上塗佈光阻以形成光阻膜257。
又,本實施形態之光阻膜257相當於本發明之第2光阻膜。
步驟S128係與第3實施形態之步驟S28相同的步驟,如圖29B(h)所示,係將所成膜之光阻膜257曝光、顯影以形成由光阻膜257所構成之具有特定間距p2的光阻圖案257a之步驟。此時光阻圖案257a的間距為特定間距p2。又,本實施形態中,光阻圖案257a係與在第1次光微影經微細化處理之光阻圖案254a為相同線寬與間距(Line and Space)之圖案。再者,係將光阻圖案257a設置在經微細化處理之光阻圖案254b間,而加工成光阻圖案254b與光阻圖案257a為交互地設置之狀態。
又,本實施形態之光阻圖案257a及圖案加工步驟(步驟S128)係分別相當於本發明之第2光阻圖案及第2圖案加工步驟。又,本實施形態之間距p2係相當於本發明之第2間距,與間距p1(第1間距)差不多相等。因此,步驟S128的圖案加工步驟(第2圖案加工步驟)係利用光微影技術將第2光阻膜加工成以和第1間距幾乎相等的第2間距排列配置,且與第1間距的大約一半距離錯開而與第1光阻圖案交互地排列配置的第2光阻圖案之步驟。
步驟S129係與第3實施形態之步驟S29相同的步驟,如圖29C(i)所示,係對形成光阻圖案257a之光阻膜257進行微細化處理,以形成由光阻膜257所構成的光阻圖案257b之步驟(第2次微細化處理)。此處,微細化處理的方法亦未特別限制,可在含有氧自由基或臭氧氣體之環境氣體中進行,溫度為室溫~100℃。
步驟S129可在用以進行步驟S125的成膜步驟之成膜裝置的處理容器內進行,亦可在與用以進行步驟S125的成膜步驟之成膜裝置相異的處理容器內進行。
藉由第2次微細化處理而形成由光阻圖案254b及257b所構成之光阻圖案。該光阻圖案係圖案254b與257b交互地設置之圖案,因此該間距p3會成為較間距p1及p2要狹窄之間距,本實施形態中會成為間距p1及p2的大約1/2之間距。依上述方式,藉由交互地設置以間距p1排列配置之光阻圖案254b與以和間距p1幾乎相等的間距p2排列配置之光阻圖案257b,可形成間距為解析限度以下之光阻圖案。
又,本實施形態之形狀加工步驟(步驟S129)係相當於本發明之第2的形狀加工步驟。
又,步驟S129可在形成鋁氧化膜之成膜裝置內進行,亦可在與形成鋁氧化膜之成膜裝置相異的裝置內進行。
步驟S130的步驟係與第3實施形態之步驟S30的步驟相同,如圖29C(j)所示,係利用光阻圖案254b及257b作為蝕刻之遮罩來蝕刻反射防止膜256、253、Al2 O3 膜255及薄膜252,而將薄膜252加工成期望的微細圖案。
首先,以經形狀加工後之光阻圖案(第1光阻圖案)254b及經形狀加工後之光阻圖案(第2光阻圖案)257b作為遮罩,來蝕刻反射防止膜256、Al2 O3 膜255及反射防止膜253。在省略反射防止膜256時,只要蝕刻Al2 O3 膜255及反射防止膜253即可。藉此形成以特定間距p1(第1間距)的大約一半間距排列配置之由光阻膜(第1光阻膜)254及光阻膜(第2光阻膜)257所構成的光罩圖案。
接下來,利用該光罩圖案來蝕刻薄膜(被蝕刻膜)252,以形成由薄膜252所構成的薄膜圖案(由被蝕刻膜所構成的被蝕刻膜圖案)。
進行步驟S130所加工之薄膜252的間距p4會與光阻圖案254b及257b的間距p3與幾乎相同,因此可使薄膜252構成的微細圖案間距p4為解析限度以下。依上述方式,本實施形態可形成間距為解析限度以下之微細圖案。
又,將形成形成反射防止膜253之步驟(步驟S121的一部份)至蝕刻Al2 O3 膜255及反射防止膜253以形成光罩圖案之步驟(步驟S130的一部份),定義為本發明之光罩圖案的形成方法。又,包含該光罩圖案的形成方法,將形成薄膜(被蝕刻膜)252之步驟(步驟S121的一部份)至蝕刻薄膜(被蝕刻膜)252之步驟(步驟S130),定義為本發明之微細圖案的形成方法。
本實施形態亦與第5實施形態同樣地,在步驟S125中形成鋁氧化膜時,光阻未被灰化。因此,步驟S124中進行形狀加工步驟(第1次微細化處理)而被微細化處理之光阻圖案254b的形狀在之後的步驟中,形狀不會變化而仍可被維持。因此,由於可使光阻圖案254b與步驟S129中進行形狀加工步驟(第2次微細化處理)而被微細化處理之光阻圖案257b的形狀為一致,故可精密地控制利用LLE製程所形成之微細圖案的形狀。
(第7實施形態)
接下來,參照圖30~圖33,說明本發明第7實施形態之微細圖案的形成方法。
圖30係用以說明本實施形態之微細圖案形成方法的各步驟順序之流程圖。圖31A~圖31C係用以說明本實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖。又,圖30之步驟S211至步驟S218及步驟S219各步驟進行後的微細圖案結構係對應於圖31A(a)至圖31B(h)及圖31C(i)的各剖面圖所示之構造。
本實施形態之微細圖案形成方法中的氧化膜係在形成鋁氧化膜後更進一步地形成矽氧化膜,這一點與第5實施形態相異。
如圖30所示,本實施形態之微細圖案的形成方法係包含:於半導體基板上形成薄膜之步驟;於薄膜上形成光阻膜之形成步驟;利用光微影技術將光阻膜加工成以第1間距排列配置的光阻圖案(第1光阻圖案(線狀部))之圖案加工步驟(第1圖案加工步驟);加工第1光阻圖案的形狀之形狀加工步驟(第1形狀加工步驟);供給來源氣體與氧自由基,並於利用形狀加工步驟(第1形狀加工步驟)來進行形狀加工後之光阻圖案(第1光阻圖案)及薄膜上,在常溫下形成鋁氧化膜之成膜步驟;成膜步驟後,供給含有有機矽之來源氣體與氧自由基,以在鋁氧化膜上形成矽氧化膜之矽氧化膜成膜步驟;蝕刻鋁氧化膜及矽氧化膜以使矽氧化膜及鋁氧化膜作為側壁而殘留在光阻圖案的線狀部側面之氧化膜蝕刻步驟;去除光阻圖案並蝕刻有機膜之步驟;以及蝕刻薄膜之步驟。形成薄膜之步驟係包含步驟S211,形成光阻膜之步驟係包含步驟S212,圖案加工步驟係包含步驟S213,形狀加工步驟係包含步驟S214,成膜步驟係包含步驟S215,形成矽氧化膜之步驟係包含S216,蝕刻步驟係包含步驟S217,去除光阻圖案並蝕刻有機膜之步驟係包含步驟S218,蝕刻薄膜之步驟係包含步驟S219。又,圖30之蝕刻步驟係相當於本發明之氧化膜蝕刻步驟。
又,本實施形態與第5實施形態同樣地,如圖30所示,步驟S214與步驟S215可不在同一反應室(處理容器)內連續處理。
步驟S211係第5實施形態之步驟S111相同的步驟,如圖31A(a)所示,係於半導體基板301上,由下至上依序形成薄膜302、有機膜303。半導體基板301、薄膜302、有機膜303係與第5實施形態之半導體基板201、薄膜202、有機膜203相同。
又,本實施形態之薄膜及有機膜係分別相當於本發明之被蝕刻膜、反射防止膜。
薄膜202的材質未特別限制,可利用含有例如氮化矽、氧化矽、氧氮化矽、非晶矽或多晶矽之膜。
步驟S212係與第5實施形態之步驟S112相同的步驟,如圖31A(b)所示,係形成光阻膜304之步驟。
步驟S213係與第5實施形態之步驟S113相同的步驟,如圖31A(c)所示,係將所成膜之光阻膜304曝光、顯影以形成由光阻膜304所構成的光阻圖案304a之步驟。又,係形成線寬為LL4及線距為SS4之光阻膜304構成的光阻圖案304a。
又,本實施形態中,係將線寬為LL4之構成光阻圖案的每一條線定義為線狀部。因此,本實施形態之圖案加工步驟係利用光微影技術來將光阻膜加工成包含有以特定的間距排列配置之線狀部的光阻圖案之步驟。
步驟S214係與第5實施形態之步驟S114相同的步驟,如圖31A(d)所示,係對光阻膜304構成的光阻圖案304a進行微細化處理,以形成由光阻膜304所構成的光阻圖案304b之步驟。
本實施形態亦與第5實施形態同樣地,步驟S214可在用以進行步驟S215的成膜步驟之成膜裝置的處理容器內進行,亦可在與用以進行步驟S215的成膜步驟之成膜裝置的處理容器相異的處理容器內進行。又,微細化處理的方法可與第5實施形態同樣地進行,經微細化處理所形成之光阻圖案304b的線寬LL1及線距SS1為LL1<LL4、SS1>SS4。
如圖31B(e)所示,步驟S215係在經微細化處理之光阻圖案304b及有機膜303上形成Al2 O3 膜305a之成膜步驟。然而,Al2 O3 膜305a係被用來被覆在光阻圖案304b及有機膜203上之膜,膜厚可較第5實施形態之Al2 O3 膜205要薄。使Al2 O3 膜305a的厚度為D1。
本實施形態亦與第5實施形態同樣地,Al2 O3 膜(氧化鋁膜)係相當於本發明之鋁氧化膜,亦可取代Al2 O3 膜而為AlOx 膜或包含以鋁與氧為主成分之其他組成的膜。又,Al2 O3 膜305a的成膜步驟可與第5實施形態同樣地進行。
步驟S216係未被包含在第5實施形態之步驟,如圖31B(f)所示,係於步驟S215所示之成膜步驟後,在Al2 O3 膜305a上形成SiO2 膜305b之矽氧化膜成膜步驟。矽氧化膜成膜步驟可與第1實施形態之步驟S15同樣地進行。使SiO2 膜305b的厚度為D2。又,當Al2 O3 膜305a與SiO2 膜305b之層積膜的總計厚度為D時,則D=D1+D2。又,被覆光阻圖案304b側面之Al2 O3 膜305a與SiO2膜305b的層積膜寬度亦會變成D,例如可使D為30nm。
步驟S217係與第5實施形態之步驟S116相同的步驟,如圖31B(g)所示,係蝕刻(回蝕刻)成只有SiO2 膜305b及Al2 O3 膜305a所構成的層積膜作為光阻圖案304b的側壁部305c而殘留之蝕刻步驟。當第3圖案306的線寬為LL3,線距為SS3時,則LL3=LL1+D×2,SS3=LL1+SS1-LL3。
又,藉由進行蝕刻步驟,矽氧化膜及鋁氧化膜會在經形狀加工後之光阻圖案的線狀部側面被蝕刻成作為側壁而殘留。因此,蝕刻步驟係將矽氧化膜及鋁氧化膜蝕刻成矽氧化膜及鋁氧化膜在經形狀加工後之光阻圖案的線狀部側面作為側壁而殘留之步驟。
步驟S218係與第5實施形態之步驟S117相同的步驟,如圖31B(h)所示,係去除光阻圖案304b以形成側壁部305c之步驟,並更進一步地以所形成之側壁部305c作為遮罩來蝕刻有機膜303之步驟。係形成線寬D、線距LL1及SS3交互地顯現之圖案(第2圖案307)。又,與第5實施形態同樣地,使線距為與LL1及SS3相等之SS2,則可再使與D相等之線寬為LL2。
又,第2圖案307係由側壁部305c及有機膜(反射防止膜)303所構成,相當於本發明之光罩圖案。
之後,以第2圖案307作為遮罩來蝕刻薄膜302係與第5實施形態相同。即進行步驟S219。步驟S219中,係利用第2圖案(光罩圖案)307來加工薄膜(被蝕刻膜)302,如圖31C(i)所示,而形成由薄膜(被蝕刻膜)302所構成之圖案302a。圖案302a的上方可殘留有機膜(反射防止膜)303。可利用含有CF系氣體、CHF系氣體、CH系氣體或氧氣等氣體來進行。
又,將形成有機膜(反射防止膜)303之步驟(步驟S211的一部份)至形成由側壁部305c及有機膜(反射防止膜)303所構成的光罩圖案之步驟(步驟S218),定義為本發明之光罩圖案的形成方法。又,包含該光罩圖案的形成方法,將形成薄膜(被蝕刻膜)302之步驟(步驟S211的一部份)至形成由薄膜(被蝕刻膜)302所構成的圖案302a之步驟(步驟S219),定義為本發明之微細圖案的形成方法。
本實施形態中,步驟S215之成膜步驟的進行亦可利用第5實施形態之圖23及圖24所說明的成膜裝置。又,步驟S216之矽氧化膜成膜步驟的進行亦可利用第1實施形態之圖3及圖4所說明的成膜裝置。步驟S215之成膜步驟及步驟S216之矽氧化膜成膜步驟亦可利用圖3及圖4所示之成膜裝置來連續地進行,此時亦可在相同的成膜裝置內連續地進行步驟S214之形狀加工步驟至步驟S216之矽氧化膜成膜步驟。藉此,可在將光阻圖案表面保持清潔的狀態下形成矽氧化膜,並使微細圖案形狀的間距為解析限度以下之半導體基板的面內均勻性更佳。又,由於可削減使用用以進行光阻圖案微細化處理的處理裝置之步驟,故可降低微細圖案形成的製程成本。
(成膜處理進行時之光阻圖案形狀控制效果)
接下來,參照圖32及表4,針對本實施形態中,利用形狀加工步驟來進行形狀加工後的光阻圖案形狀在成膜步驟前後不會發生變化,且可精密地控制光阻圖案形狀之效果加以說明。以下測量了成膜步驟後的光阻圖案寬度尺寸等並進行評估,加以說明其評估結果。圖32係實施例5中形成鋁氧化膜及矽氧化膜後所攝得的光阻圖案照片及說明該照片之圖式。
實施例5係進行將Al來源氣體與臭氧氣體交互地供給至進行形狀加工步驟後之光阻圖案上,以形成鋁氧化膜之成膜步驟。又,將其結果與第5實施形態中所說明之比較例2相比較。以下顯示實施例5之成膜步驟的成膜條件。
(實施例5)
(A) 鋁氧化膜成膜條件
(1) 原料氣體供給條件
原料氣體:TMA
基板溫度:未加熱
成膜裝置內壓力:39.9Pa
氣體流量:100sccm
供給時間:5sec
(2) 氧化氣體供給條件
氧化氣體:臭氧氣體+氧氣
基板溫度:未加熱
成膜裝置內壓力:133Pa
臭氧氣體流量:200g/m3
氧氣流量:10.0slm
供給時間:15sec
(3) 重複條件
總計循環數:30~150循環
(B) 矽氧化膜成膜條件
(1) 原料氣體供給條件
原料氣體:DIPAS
基板溫度:未加熱
成膜裝置內壓力:26.7~667Pa
氣體流量:50~1000sccm
供給時間:1~10sec
(2) 氧化氣體供給條件
氧化氣體:氧氣
基板溫度:未加熱
成膜裝置內壓力:66.7~227Pa
氣體流量:5~30slm
供給時間:5~30sec
高頻電源頻率:13.56MHz
高頻電源功率:50~500W
(3) 重複條件
總計循環數:120~130循環
圖32係顯示利用SEM所攝得之實施例5中形成氧化膜後的光阻圖案照片。圖32係顯示所攝得之形成鋁氧化膜後的光阻圖案剖面之照片(左側),以及概要地說明該照片之圖式(右側)。如圖32所示,使氧化膜的膜厚為D,光阻圖案的高度尺寸為H,尖端之光阻圖案的寬度尺寸為T,位於根部至尖端高度位置的一半之光阻圖案的寬度尺寸為M,根部之光阻圖案的寬度尺寸為B。
接下來,針對進行實施例5及比較例2所獲得之光阻圖案的H、T、M、B及尖端與根部間的寬度尺寸比率T/B,將具體的尺寸值顯示於表4。又,如表4所示,實施例5中,D1為5nm,D2為25nm,D為30nm,比較例2中,D為30nm。
如表4所示,形成鋁氧化膜及矽氧化膜的層積膜時,光阻圖案的高度尺寸H以及尖端、根部各位置之寬度尺寸T、B值係較形成矽氧化膜時要大。亦即,形成鋁氧化膜及矽氧化膜之層積膜前後的光阻圖案尺寸減少量係較形成矽氧化膜前後的光阻圖案尺寸減少量要少。
(成膜處理進行時,減少光阻圖案所受損傷之效果)
接下來,參照圖33及表5,針對本實施形態中,利用形狀加工步驟來進行形狀加工後之光阻圖案在成膜步驟中受到的損傷(damage)會減少之效果加以說明。以下係在實施實施例6、7以形成光阻膜後,不加工光阻膜的形狀,而是在形成氧化膜後測量光阻膜的膜厚,並與比較例3相比較並進行評估,針對其評估結果加以說明。圖33係概要地顯示實施例6、7及比較例3中用以測量光阻灰化量之薄膜結構的剖面圖。
實施例6如圖33所示,係於半導體基板301上形成厚度約300nm的光阻膜304,並測量光阻膜304的膜厚而以其為HP1。接下來,進行將Al來源氣體與臭氧氣體交互地供給至光阻膜304上以形成Al2 O3 膜305a之成膜步驟。此時,改變交互地供給Al來源氣體與臭氧氣體之供給循環,而將Al2 O3 膜305a的膜厚HA1改變為5、10、15、20nm。接下來,進行將Si來源氣體與含氧氣體交互地供給至Al2 O3 膜305a上以形成SiO2 膜305b之成膜步驟。此時,改變交互地供給Si來源氣體與含氧氣體之供給循環,而將SiO2 膜305b的膜厚HS1改變為5nm。接下來,進行氟酸(HF)洗淨以去除SiO2 膜305b及Al2 O3 膜305a,並測量露出在表面之光阻膜304的膜厚而以其為HP2。以從光阻膜厚減少量所求得之灰化量為HP1與HP2的差(HP1-HP2)。實施例6之鋁氧化膜成膜條件中的(1)原料氣體供給條件、(2)氧化氣體供給條件係與實施例2相同,(3)重複條件為20~25循環。
又,實施例7係利用水蒸氣氧化處理氣體來取代實施例6之鋁氧化膜成膜步驟中的臭氧氣體而實施。又,實施例7中只進行Al2 O3 膜305a的膜厚HA1為10nm之一例。
接下來,針對實施例6中Al2 O3 膜各膜厚的例子及進行實施例7及比較例3所獲得之灰化量HP1-HP2,將具體的尺寸值顯示於表5。
如表5所示,形成鋁氧化膜及矽氧化膜時,利用臭氧氣體、水蒸氣氧化處理氣體其中一者時,灰化量HP1-HP2會變成幾乎一半以下而為較小的值。又,利用臭氧氣體時灰化量HP1-HP2係無關於鋁氧化膜的膜厚HA1而為幾乎相等。亦即,灰化量並不會隨著鋁氧化膜之成膜時間、成膜厚度的增加而增加。因此,光阻不會因鋁氧化膜成膜本身而被灰化。被認為此係因為形成鋁氧化膜時不會產生氧電漿的緣故。
以上,本實施形態係較形成氧化矽之第1實施形態,其利用形狀加工步驟來進行加工後的光阻圖案形狀在成膜步驟前後不會發生變化,故可達成可精密地控制光阻圖案形狀之效果。
此外,形成鋁氧化膜及矽氧化膜時的灰化量係較單獨形成矽氧化膜時要小。因此,於光阻上形成矽氧化膜時,可防止光阻因氧電漿而被灰化之膜厚減少,並提高所謂的對氧電漿之防禦(blocking)性能。
再者,可容易使形成矽氧化膜之成膜速度較形成鋁氧化膜之成膜速度要大。因此,形成鋁氧化膜及矽氧化膜時係較單獨形成鋁氧化膜時能夠更加提高產能。
以上,本實施形態係較單獨形成氧化矽之第1實施形態及單獨形成氧化鋁之第5實施形態能夠更加精密地控制光阻圖案的形狀,且可達到提高產能之效果。
又,本實施形態中,形成氧化膜時光阻圖案係被保護,故可容易地維持光阻圖案的形狀。因此,如利用例如圖23及圖24所說明地,在與進行成膜步驟之成膜裝置相異的處理容器內進行形狀加工步驟時,亦可精密地控制光阻圖案的形狀。
又,本實施形態所說明之利用鋁氧化膜與矽氧化膜的層積膜來作為氧化膜之方法亦可適用於第3實施形態及第6實施形態中所說明之LLE製程。
以上,係針對本發明之較佳實施形態加以敘述,但本發明不限於特定的實施形態,而可在申請專利範圍內所記載之本發明要旨的範圍內做各種變化或改變。
p1~p4...間距
CD、B、M、T...寬度尺寸
D...厚度
H...高度尺寸
HA、HA1、HS、HP1、HP2...膜厚
LL1~LL4...線寬
SS1~SS4...線距
T1~T3...時間
W...晶圓
1、1b...處理容器
2...頂板
3...分歧管
4...密封組件
5...晶舟
6...支柱
7...保溫筒
8...載置台
9...蓋部
10...迴轉軸
11...磁性流體密封件
12...密封組件
13...臂部
14...含氧氣體供給機構
14a、14b...臭氧氣體供給機構
15...Si來源氣體供給機構
15b...Al來源氣體供給機構
16...吹淨氣體供給機構
17...含氧氣體供給源
17a、17b...臭氧氣體供給源
18...含氧氣體配管
18a、18c、18f、21a、21d、24a...開閉閥
18b、18d、18g、21b、21e、24b...流量控制器
18e...臭氧氣體配管
19...含氧氣體分散噴嘴
19a、19c、22a、22c...氣體噴出孔
19b...臭氧氣體分散噴嘴
20...Si來源氣體供給源
20b...Al來源氣體供給源
21...Si來源氣體配管
21c...Al來源氣體配管
22...Si來源氣體分散噴嘴
22b...Al來源氣體分散噴嘴
23...吹淨氣體供給源
24...吹淨氣體配管
25...吹淨氣體噴嘴
30...電漿產生機構
31...開口
32...電漿區劃壁
33...電漿電極
34...供電線
35...高頻電源
36...絕緣保護罩
37...排氣口
38...排氣口覆蓋組件
39...氣體出口
40...加熱裝置
50...控制器
51...使用者介面
52...記憶部
80、80a、80b...成膜裝置
101、201、251、301...半導體基板
102、152、202、252、302...薄膜(被蝕刻膜)
102a、202a、302a...圖案
103、153、156、203、253、256、303...有機膜(反射防止膜)
104、154、157、204、254、257、304...光阻膜
104a、104b、154a、154b、157a、157b、204a、204b、254a、254b、257a、257b、304a、304b...光阻圖案
105、155、305b...SiO2
105a、205a、305c...側壁部
106、206、306...第3圖案
107、207、307...第2圖案
151...半導體基板
152、205、255、305a...Al2 O3
圖1係用以說明本發明第1實施形態之微細圖案形成方法的各步驟順序之流程圖。
圖2A係用以說明本發明第1實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其1)。
圖2B係用以說明本發明第1實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其2)。
圖3係概要地顯示使用於本發明第1實施形態之微細圖案形成方法的成膜裝置結構之縱剖面圖。
圖4係概要地顯示使用於本發明第1實施形態之微細圖案形成方法的成膜裝置結構之橫剖面圖。
圖5係用以說明本發明第1實施形態之微細圖案形成方法的示意圖,係顯示形狀加工步驟及成膜步驟中氣體的供給時間點之時序圖。
圖6係用以說明本發明第1實施形態之微細圖案形成方法的示意圖,係概要地顯示於Si來源氣體利用BTBAS時半導體基板上的反應之示意圖。
圖7係用以說明本發明第1實施形態之微細圖案形成方法的示意圖,係概要地顯示形狀加工步驟及成膜步驟中的微細圖案結構之剖面圖。
圖8係用以說明本發明第1實施形態之微細圖案形成方法的示意圖,係概要地顯示以習知方法來進行形狀加工步驟的情況下,形狀加工步驟及成膜步驟中的微細圖案結構之剖面圖。
圖9係實施例1中於成膜裝置內進行去除處理後所攝得的光阻圖案照片及說明該照片之圖。
圖10係比較例1中於塗佈裝置內進行去除處理後所攝得的光阻圖案照片及說明該照片之圖。
圖11係顯示係於成膜裝置內進行去除處理後的光阻圖案寬度尺寸之圖表。
圖12係顯示係於成膜裝置內進行去除處理後的光阻圖案高度尺寸之圖表。
圖13係顯示於成膜裝置內進行去除處理後之光阻圖案的尖端與根部之間的寬度尺寸比率之圖表。
圖14係顯示於成膜裝置內進行去除處理後之光阻圖案的LWR之圖表。
圖15係實施例1中進行成膜處理後所攝得的光阻圖案照片及說明該照片之圖。
圖16係比較例1中成膜處理進行後所攝得的光阻圖案照片及說明該照片之圖。
圖17係概要地顯示使用於本發明第2實施形態之微細圖案形成方法的成膜裝置結構之縱剖面圖。
圖18係用以說明本發明第3實施形態之微細圖案形成方法的各步驟順序之流程圖。
圖19A係用以說明本發明第3實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其1)。
圖19B係用以說明本發明第3實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其2)。
圖19C係用以說明本發明第3實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其3)。
圖20係用以說明本發明第4實施形態之微細圖案形成方法的示意圖,係概要地顯示於Si來源氣體利用DIPAS時半導體基板上的反應之示意圖。
圖21係用以說明本發明第5實施形態之微細圖案形成方法的各步驟順序之流程圖。
圖22A係用以說明本發明第5實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其1)。
圖22B係用以說明本發明第5實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其2)。
圖23係概要地顯示使用於本發明第5實施形態之微細圖案形成方法的成膜裝置結構之縱剖面圖。
圖24係概要地顯示使用於本發明第5實施形態之微細圖案形成方法的成膜裝置結構之橫剖面圖。
圖25係用以說明本發明第5實施形態之微細圖案形成方法的示意圖,係顯示形狀加工步驟及成膜步驟中氣體的供給時間點之時序圖。
圖26係實施例2及比較例2中形成鋁氧化膜後所攝得的光阻圖案照片及說明該照片之圖。
圖27係概要地顯示實施例3、4及比較例3中,用以測量光阻灰化量之薄膜結構的剖面圖。
圖28係用以說明本發明第6實施形態之微細圖案形成方法的各步驟順序之流程圖。
圖29A係用以說明本發明第6實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其1)。
圖29B係用以說明本發明第6實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其2)。
圖29C係用以說明本發明第6實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其3)。
圖30係用以說明本發明第7實施形態之微細圖案形成方法的各步驟順序之流程圖。
圖31A係用以說明本發明第7實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其1)。
圖31B係用以說明本發明第7實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其2)。
圖31C係用以說明本發明第7實施形態之微細圖案形成方法的示意圖,係概要地顯示各步驟中的微細圖案結構之剖面圖(其3)。
圖32係實施例5中形成鋁氧化膜及矽氧化膜後所攝得的光阻圖案照片及說明該照片之圖。
圖33係概要地顯示實施例6、7及比較例3中,用以測量光阻灰化量之薄膜結構的剖面圖。

Claims (16)

  1. 一種光罩圖案的形成方法,係具有以下步驟:形成被蝕刻膜之步驟;形成作為光罩的膜之步驟;利用光微影技術,將該作為光罩的膜加工成包含有以特定間距排列配置的線狀部之圖案之圖案加工步驟;於形成氧化膜之成膜裝置內加工該線狀部的形狀之形狀加工步驟;於該形狀加工步驟後接連著將來源氣體與氧自由基或含氧氣體供給至該成膜裝置內,以在利用該形狀加工步驟來進行形狀加工後之該線狀部及該被蝕刻膜上形成氧化膜之成膜步驟;蝕刻該氧化膜以使該氧化膜作為側壁而殘留在經形狀加工後之該線狀部的側面之蝕刻步驟;去除經形狀加工後之該線狀部,以形成包含有由作為側壁而殘留之該氧化膜所構成的側壁部之光罩圖案之步驟。
  2. 一種光罩圖案的形成方法,係具有以下步驟:形成被蝕刻膜之步驟;形成作為第1光罩的膜之步驟;利用光微影技術,將該作為第1光罩的膜加工成包含有以第1間距排列配置的線狀部之第1圖案之第1圖案加工步驟;於形成氧化膜之成膜裝置內加工該第1圖案的形狀之第1形狀加工步驟;於該第1形狀加工步驟後接連著將來源氣體與氧自由基或含氧氣體供給至該成膜裝置內,以在利用該第1形狀加工步驟來進行形狀加工後之該第1圖案及該被蝕刻膜上形成氧化膜之成膜步驟;於該氧化膜上形成作為第2光罩的膜之步驟;利用光微影技術,將該作為第2光罩的膜加工成包含有以和該第1間距幾乎相等的第2間距排列配置之線狀部,且與該第1間距的大約一半距離錯開而與該第1圖案交互地排列配置之第2圖案之第2圖案加工步驟;對該第2圖案的形狀進行加工之第2形狀加工步驟;以及以經形狀加工後之該第1圖案及經形狀加工後之該第2圖案作為遮罩來蝕刻該氧化膜而形成光罩圖案之步驟。
  3. 如申請專利範圍第1或2項之光罩圖案的形成方法,其中該形狀加工步驟係利用含氧氣體電漿或臭氧氣體來加工該線狀部的形狀。
  4. 如申請專利範圍第1或2項之光罩圖案的形成方法,其中係利用含氧氣體電漿來作為該氧自由基。
  5. 如申請專利範圍第1或2項之光罩圖案的形成方法,其中該成膜步驟係藉由交互地實施將該來源氣體供給至可保持真空的處理容器內之來源氣體供給步驟,以及將該氧自由基或該含氧氣體供給至該處理容器內之氧自由基供給步驟,以在該處理容器內形成該氧化膜。
  6. 如申請專利範圍第5項之光罩圖案的形成方法,其中係在該來源氣體供給步驟與該氧自由基供給步驟之間,插入去除殘留在該處理容器內的氣體之殘留氣體去除步驟。
  7. 如申請專利範圍第6項之光罩圖案的形成方法,其中該殘留氣體去除步驟係一邊將該處理容器內真空抽氣,一邊將吹淨氣體導入該處理容器內。
  8. 如申請專利範圍第1或2項之光罩圖案的形成方法,其中該來源氣體係含有有機矽,該氧化膜係矽氧化膜。
  9. 如申請專利範圍第1或2項之光罩圖案的形成方法,其中該來源氣體係含有有機鋁化合物,該氧化膜係鋁氧化膜。
  10. 如申請專利範圍第9項之光罩圖案的形成方法,其中係具有於該成膜步驟後,供給含有有機矽之來源氣體與氧自由基,以在該鋁氧化膜上形成矽氧化膜之矽氧化膜成膜步驟。
  11. 如申請專利範圍第10項之光罩圖案的形成方法,其中係於形成該鋁氧化膜之成膜裝置內連續進行該矽氧化膜成膜步驟。
  12. 一種成膜裝置,係具有:處理容器,可保持真空並用以處理基板;來源氣體供給機構,係將來源氣體供給至該處理容器內;以及氧自由基供給機構,係將氧自由基或含氧氣體供給至該處理容器內;其中該來源氣體供給機構與該氧自由基供給機構係藉由將該來源氣體與該氧自由基或該含氧氣體交互地供給至該處理容器內,以在形成有以特定間距排列配置的線狀部之基板上形成氧化膜;其特徵在於在形成有該線狀部之基板上形成該氧化膜前,該氧自由基供給機構係藉由將該氧自由基供給至該處理容器內來加工該線狀部的形狀。
  13. 一種成膜裝置,係為了形成包含有形成於基板上之被蝕刻膜、以及以氧化膜來被覆以特定間距排列配置之線狀部側壁且由該氧化膜所構成的側壁部之光罩圖案,而藉由交互地供給來源氣體與氧自由基或含氧氣體,將形成於該基板上之該線狀部以等方向性地被覆之方式來形成該氧化膜;該成膜裝置係具有:處理容器,可保持真空並用以處理基板;來源氣體供給機構,係將該來源氣體供給至該處理容器內;以及氧自由基供給機構,係將該氧自由基或該含氧氣體供給至該處理容器內;其特徵在於在形成有該線狀部之基板上形成該氧化膜前,該氧自由基供給機構係藉由將該氧自由基供給至該處理容器內來加工該線狀部的形狀。
  14. 一種成膜裝置,係為了形成包含有形成於基板上之被蝕刻膜、包含有以第1間距排列配置的線狀部之第1圖案、以及以和第1間距幾乎相等的第2間距排列配置,且與該第1間距的大約一半距離錯開而與該第1圖案交互地排列配置之第2圖案之光罩圖案,而藉由交互地供給來源氣體與氧自由基或含氧氣體,以在形成有該第1圖案之基板上,將該第1圖案以等方向性地被覆之方式來形成該氧化膜;該成膜裝置係具有:處理容器,可保持真空並用以處理基板;來源氣體供給機構,係將該來源氣體供給至該處理容器內;以及氧自由基供給機構,係將該氧自由基或該含氧氣體供給至該處理容器內;其特徵在於在形成有該第1圖案之基板上形成該氧化膜前,該氧自由基供給機構係藉由將該氧自由基供給至該處理容器內來加工該第1圖案的形狀。
  15. 如申請專利範圍第12至14項中任一項之成膜裝置,其中該來源氣體係含有有機矽,該氧化膜係矽氧化膜。
  16. 如申請專利範圍第12至14項中任一項之成膜裝置,其中該來源氣體係含有有機鋁化合物,該氧化膜係鋁氧化膜。
TW100130415A 2008-09-29 2009-09-28 A method of forming a mask pattern, a method of forming a fine pattern, and a film forming apparatus TWI422995B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008251679 2008-09-29
JP2009206443A JP4638550B2 (ja) 2008-09-29 2009-09-07 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置

Publications (2)

Publication Number Publication Date
TW201220004A TW201220004A (en) 2012-05-16
TWI422995B true TWI422995B (zh) 2014-01-11

Family

ID=42057846

Family Applications (2)

Application Number Title Priority Date Filing Date
TW098132629A TWI422994B (zh) 2008-09-29 2009-09-28 A method of forming a mask pattern, a method of forming a fine pattern, and a film forming apparatus
TW100130415A TWI422995B (zh) 2008-09-29 2009-09-28 A method of forming a mask pattern, a method of forming a fine pattern, and a film forming apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW098132629A TWI422994B (zh) 2008-09-29 2009-09-28 A method of forming a mask pattern, a method of forming a fine pattern, and a film forming apparatus

Country Status (4)

Country Link
US (9) US8426117B2 (zh)
JP (1) JP4638550B2 (zh)
KR (2) KR101079625B1 (zh)
TW (2) TWI422994B (zh)

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP5236716B2 (ja) * 2008-09-29 2013-07-17 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP4985987B2 (ja) * 2008-10-15 2012-07-25 信越化学工業株式会社 パターン形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
WO2011109148A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8252691B2 (en) 2010-04-14 2012-08-28 Asm Genitech Korea Ltd. Method of forming semiconductor patterns
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
JP5632240B2 (ja) 2010-08-31 2014-11-26 東京エレクトロン株式会社 微細パターンの形成方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
WO2012060379A1 (ja) * 2010-11-04 2012-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8764999B2 (en) * 2010-11-23 2014-07-01 Tokyo Electron Limited Sidewall image transfer pitch doubling and inline critical dimension slimming
JP5682290B2 (ja) * 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
SG191313A1 (en) * 2010-12-24 2013-08-30 Asahi Kasei E Materials Corp Insulation structure and method for manufacturing same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP2012169408A (ja) * 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9982995B2 (en) 2011-05-24 2018-05-29 Koninklijke Philips N.V. 3D scanner using structured lighting
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP6127535B2 (ja) * 2012-02-03 2017-05-17 大日本印刷株式会社 ナノインプリント用テンプレートの製造方法
JP6089451B2 (ja) * 2012-05-30 2017-03-08 大日本印刷株式会社 ナノインプリントモールドおよびその製造方法
JP6001940B2 (ja) * 2012-07-11 2016-10-05 東京エレクトロン株式会社 パターン形成方法及び基板処理システム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6171453B2 (ja) * 2013-03-25 2017-08-02 大日本印刷株式会社 ナノインプリントモールドの製造方法
JP6167673B2 (ja) * 2013-05-31 2017-07-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2015005534A (ja) * 2013-06-18 2015-01-08 学校法人立命館 縦型発光ダイオードおよび結晶成長方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP2015154034A (ja) * 2014-02-19 2015-08-24 株式会社東芝 成膜装置および成膜方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104390012B (zh) * 2014-11-04 2016-06-15 南京工业大学 磁流体润滑的机械密封装置及自适应密封控制方法
CN107112278B (zh) * 2014-12-15 2021-05-04 应用材料公司 用于先进互连应用的超薄电介质扩散阻挡层与蚀刻终止层
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106601610A (zh) * 2015-10-14 2017-04-26 中国科学院微电子研究所 一种形成小间距鳍体的方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
JP2018067582A (ja) * 2016-10-18 2018-04-26 東芝メモリ株式会社 半導体製造装置及び半導体装置の製造方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10541146B2 (en) * 2017-04-26 2020-01-21 Tokyo Electron Limited Method of cyclic plasma etching of organic film using sulfur-based chemistry
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10354923B2 (en) * 2017-05-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
JP6814116B2 (ja) * 2017-09-13 2021-01-13 キオクシア株式会社 半導体装置の製造方法および半導体製造装置
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
TWI788434B (zh) * 2017-10-27 2023-01-01 日商東京威力科創股份有限公司 光罩圖案形成方法、記憶媒體及基板處理裝置
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10559479B2 (en) * 2018-02-27 2020-02-11 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR20200127261A (ko) * 2018-03-26 2020-11-10 램 리써치 코포레이션 탄소 막들의 원자 층 증착
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN110993499B (zh) 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR102190908B1 (ko) * 2020-02-18 2020-12-14 (주)위지트 Oled 메탈마스크 코팅 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114173510B (zh) * 2021-12-08 2022-12-13 上海交通大学 一种具有空气隔热层的微型多层隔热结构及其制备和应用
TW202400826A (zh) * 2021-12-20 2024-01-01 美商蘭姆研究公司 使用胺基矽烷及氯矽烷前驅物的保形矽氧化物沉積

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200803047A (en) * 2006-04-28 2008-01-01 Ruckus Wireless Inc Multiband omnidirectional planar antenna apparatus with selectable elements
US20080070165A1 (en) * 2006-09-14 2008-03-20 Mark Fischer Efficient pitch multiplication process

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
US4437961A (en) * 1982-08-19 1984-03-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method for sequentially processing a multi-level interconnect circuit in a vacuum chamber
US4534389A (en) * 1984-03-29 1985-08-13 Hewlett-Packard Company Interlocking door latch for dockable interface for integrated circuit processing
JPS6393881A (ja) * 1986-10-08 1988-04-25 Anelva Corp プラズマ処理装置
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
KR19980028359A (ko) * 1996-10-22 1998-07-15 김영환 반도체소자의 미세 패턴 제조방법
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6620631B1 (en) * 2000-05-18 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control
WO2002033729A2 (en) * 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6653231B2 (en) 2001-03-28 2003-11-25 Advanced Micro Devices, Inc. Process for reducing the critical dimensions of integrated circuit device features
WO2002091461A2 (en) * 2001-05-04 2002-11-14 Tokyo Electron Limited Ionized pvd with sequential deposition and etching
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
US7157377B2 (en) * 2004-02-13 2007-01-02 Freescale Semiconductor, Inc. Method of making a semiconductor device using treated photoresist
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
KR101014858B1 (ko) 2006-03-30 2011-02-15 미쯔이 죠센 가부시키가이샤 플라즈마 원자층 성장 방법 및 장치
KR20080001918A (ko) * 2006-06-30 2008-01-04 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100761857B1 (ko) 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5388331B2 (ja) * 2006-09-29 2014-01-15 旭化成イーマテリアルズ株式会社 ポリオルガノシロキサン組成物
KR100752674B1 (ko) 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US8168375B2 (en) * 2007-06-08 2012-05-01 Tokyo Electron Limited Patterning method
US7790628B2 (en) 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
JP4932671B2 (ja) 2007-10-26 2012-05-16 東京エレクトロン株式会社 エッチングマスクの形成方法、制御プログラム及びプログラム記憶媒体
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US7972926B2 (en) * 2009-07-02 2011-07-05 Micron Technology, Inc. Methods of forming memory cells; and methods of forming vertical structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200803047A (en) * 2006-04-28 2008-01-01 Ruckus Wireless Inc Multiband omnidirectional planar antenna apparatus with selectable elements
US20080070165A1 (en) * 2006-09-14 2008-03-20 Mark Fischer Efficient pitch multiplication process

Also Published As

Publication number Publication date
TWI422994B (zh) 2014-01-11
US20190041756A1 (en) 2019-02-07
US20240096595A1 (en) 2024-03-21
US11404271B2 (en) 2022-08-02
KR101108613B1 (ko) 2012-02-08
US10176992B2 (en) 2019-01-08
US10879066B2 (en) 2020-12-29
US20220328301A1 (en) 2022-10-13
US10191378B2 (en) 2019-01-29
TW201039072A (en) 2010-11-01
US20180019113A1 (en) 2018-01-18
KR101079625B1 (ko) 2011-11-03
US8426117B2 (en) 2013-04-23
US20190096658A1 (en) 2019-03-28
US20190115204A1 (en) 2019-04-18
JP4638550B2 (ja) 2011-02-23
US20170162381A1 (en) 2017-06-08
US20100081094A1 (en) 2010-04-01
KR20100036214A (ko) 2010-04-07
US10141187B2 (en) 2018-11-27
JP2010103497A (ja) 2010-05-06
US11881379B2 (en) 2024-01-23
KR20110082495A (ko) 2011-07-19
TW201220004A (en) 2012-05-16
US11404272B2 (en) 2022-08-02
US20130213301A1 (en) 2013-08-22

Similar Documents

Publication Publication Date Title
TWI422995B (zh) A method of forming a mask pattern, a method of forming a fine pattern, and a film forming apparatus
US8298951B1 (en) Footing reduction using etch-selective layer
JP4659856B2 (ja) 微細パターンの形成方法
US8202805B2 (en) Substrate processing method
TW201501202A (zh) 電漿蝕刻方法及電漿蝕刻裝置
US20110065280A1 (en) Mask pattern forming method and semiconductor device manufacturing method
TW202105472A (zh) 多間隔圖案化方案
US20040185674A1 (en) Nitrogen-free hard mask over low K dielectric
TW201246296A (en) Pattern forming method
JP6415636B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
TW202240294A (zh) 正型光阻劑膜的氧化處理
EP4325550A1 (en) Substrate processing method
JP2011049596A (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置