TW201501202A - 電漿蝕刻方法及電漿蝕刻裝置 - Google Patents

電漿蝕刻方法及電漿蝕刻裝置 Download PDF

Info

Publication number
TW201501202A
TW201501202A TW103116778A TW103116778A TW201501202A TW 201501202 A TW201501202 A TW 201501202A TW 103116778 A TW103116778 A TW 103116778A TW 103116778 A TW103116778 A TW 103116778A TW 201501202 A TW201501202 A TW 201501202A
Authority
TW
Taiwan
Prior art keywords
film
gas
plasma etching
plasma
etching
Prior art date
Application number
TW103116778A
Other languages
English (en)
Other versions
TWI642101B (zh
Inventor
Keiji Kitagaito
Fumiya Kobayashi
Maju Tomura
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201501202A publication Critical patent/TW201501202A/zh
Application granted granted Critical
Publication of TWI642101B publication Critical patent/TWI642101B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32394Treating interior parts of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Abstract

提供一種可得到良好的蝕刻形狀之電漿蝕刻方法。 一種電漿蝕刻方法,係將包含有蝕刻對象膜及經圖案化之遮罩的被處理體電漿蝕刻之電漿蝕刻方法,其係具有:第1工序,係使用該遮罩來電漿蝕刻該蝕刻對象膜;以及第2工序,係在藉由該第1工序所蝕刻之該蝕刻對象膜的側壁部之至少一部分,以含矽氣體之電漿來沉積含矽膜。

Description

電漿蝕刻方法及電漿蝕刻裝置
本發明係有關一種電漿蝕刻方法及電漿蝕刻裝置。
近年,隨著半導體裝置之高集積化,半導體裝置之製造過程所要求的配線或分離寬度等電路圖案亦微細化。一般而言,電路圖案係藉由使用形成有圖案之遮罩來蝕刻處理對象膜,而加以形成。
為了形成細微的電路圖案,便有必要將遮罩圖案之最小尺寸變小,並且將小尺寸之開口部正確地轉印至處理對象膜。
然而,例如將由非晶碳層膜(以下,稱為ACL膜)等所形成之有機系遮罩蝕刻時,會產生有非晶碳膜之剖面的一部分擴張之反曲。當反曲產生時,被蝕刻之ACL膜會傾倒而阻塞開口部,其結果便會產生有無法蝕刻處理對象膜等問題。
專利文獻1係揭露一種將氧氣體(O2)及硫化羰(COS)作為處理氣體來加以使用,以抑制反曲之技術。
【先行技術文獻】
【專利文獻】
專利文獻1:日本特開2011-204999號公報
然而,專利文獻1所揭露之方法,雖然可抑制反曲,但依然無法解決上述之問題點。
對於上述課題,係提供一種可得到良好的蝕刻形狀之電漿蝕刻方法。
一種樣態中,提供一種電漿蝕刻方法,係將包含有蝕刻對象膜及經圖案化之遮罩的被處理體電漿蝕刻之電漿蝕刻方法;其係具有:第1工序,係使用該遮罩來電漿蝕刻該蝕刻對象膜;以及第2工序,係在藉由該第1工序所蝕刻之該蝕刻對象膜的側壁部之至少一部份,以含矽氣體之電漿來沉積含矽膜。
提供一種可得到良好的蝕刻形狀之電漿蝕刻方法。
1‧‧‧電漿蝕刻裝置
10‧‧‧腔室
15‧‧‧氣體供給源
20‧‧‧下部電極
25‧‧‧上部電極
30‧‧‧電力供給裝置
32‧‧‧第1高頻電源
33‧‧‧第1匹配器
34‧‧‧第2高頻電源
35‧‧‧第2匹配器
40‧‧‧遮蔽環
45‧‧‧氣體導入口
50‧‧‧擴散室
55‧‧‧氣體供給孔
60‧‧‧排氣孔
65‧‧‧排氣裝置
100‧‧‧控制裝置
105‧‧‧CPU
110‧‧‧ROM
150‧‧‧矽基材
155‧‧‧氧化膜
160‧‧‧ACL膜
165‧‧‧SiON膜
170‧‧‧反射防止膜
175‧‧‧光罩膜
180‧‧‧側壁部
185‧‧‧含矽膜
G‧‧‧閘閥
W‧‧‧晶圓
圖1係本實施形態相關之電漿蝕刻裝置的一範例之概略結構圖。
圖2係本實施形態相關之電漿蝕刻方法之一範例的流程圖。
圖3係用以說明本實施形態之電漿蝕刻方法的一範例之概略圖。
圖4係用以說明本實施形態相關之電漿蝕刻方法的效果之一範例的SEM照片。
圖5係用以說明本實施形態相關之電漿蝕刻方法的效果之其他範例的SEM照片。
圖6係用以說明本實施形態相關之電漿蝕刻方法的效果之其他範例的SEM照片。
圖7係用以說明本實施形態相關之電漿蝕刻方法的效果之其他範例的SEM照片。
圖8係用以說明本實施形態相關之電漿蝕刻方法的效果之其他範例的SEM照片。
以下,便參照添附圖式就本發明之實施形態來加以說明。另外,本說明書及圖式中,就實質上相同之結構,係以附加相同符號來省略已重複的說明。
[電漿蝕刻裝置]
首先,就可實施後述本實施形態相關的電漿蝕刻方法之電漿蝕刻裝置的整體結構來加以說明。另外,本說明書中,係舉在腔室內將上部電極與 下部電極(載置台)對向配置,並從上部電極將處理氣體供給至腔室內之平行平板型電漿蝕刻裝置為範例來加以說明。
在圖1顯示本實施形態相關之電漿處理裝置的一範例之概略結構圖。
電漿處理裝置1係具有由例如鋁等導電性材料所構成之腔室10以及將處理氣體供給至該腔室10內之氣體供給源15。處理氣體係對應於遮罩之種類、處理對象膜(蝕刻對象膜)之種類,來適當選擇。
腔室10係電性接地,且腔室10內係設置有下部電極20以及對向於其而平行地配置的上部電極25。
下部電極20係具有作為載置被處理體,即形成有單層膜或是積層膜等半導體晶圓W(以下,稱為晶圓W)之載置台的機能。
下部電極20係連接有供給雙頻重疊電力之電力供給裝置30。電力供給裝置30係具備有供給第1頻率之第1高頻電力(電漿產生用高頻電力)的第1高頻電源32,以及供給較第1頻率要低之第2頻率的第2高頻電力(偏壓電壓產生用高頻電力)之第2高頻電源34。第1高頻電源32係透過第1匹配器33來電性連接至下部電極20。第2高頻電源34係透過第2匹配器35來電性連接至下部電極20。
第1匹配器33及第2匹配器35係分別用以讓負載阻抗匹配於第1高頻電源32及第2高頻電源34之內部(或是輸出)阻抗。在腔室10內生成電漿時,就各別第1高頻電源32及第2高頻電源34係具有內部阻抗與負載阻抗在外觀上一致之機能。
上部電極25係透過披覆其周緣部之遮蔽環40來安裝於腔室10之頂部。上部電極25亦可如圖1所示般電性接地。或者,亦可構成為將上部電極25連接於未圖示之可變直流電源,並施加既定之直流(DC)電壓。
上部電極25係形成有用以從氣體供給源15將氣體導入的氣體導入口45。又,上部電極25之內部係設置有讓從氣體導入口45所導入之氣體擴散之擴散室50。又,上部電極25係形成有供給來自該擴散室50的氣體至腔室10內之複數氣體供給孔55。藉由氣體供給孔55,便會供給處理氣體至下部電極20所載置之晶圓W與上部電極25之間。亦即,來自氣體供給源15之處理氣體會先透過氣體導入口45來供給至擴散室50。然後,處理氣體係在擴散室50內被分配至各別的氣體供給孔55,而從該氣體供給孔 55朝向下部電極20吐出。由上述,相關構成之上部電極25亦具有作為供給氣體之氣體噴淋頭的機能。
腔室10之底面係形成有排氣口60,並藉由連接於排氣口60之排氣裝置65來進行排氣,便可維持腔室10內為既定之真空度。
腔室10之側壁係設置有閘閥G。閘閥G係在從腔室10進行晶圓W之搬入及搬出時,開閉搬出入口。
電漿蝕刻裝置1係設置有控制裝置整體動作之控制部100。控制部100係具有CPU(Central Processing Unit)105、ROM(Read Only Memory)110以及RAM(Random Access Memory)115之紀錄區域。
CPU105係依照儲存於該等記憶區域之各種配方來實行電漿蝕刻處理。配方係記載有為針對程序條件之裝置控制情報的程序時間、壓力(氣體之排氣)、高頻電力或電壓、各種程序氣體流量、腔室內溫度(例如,上部電極溫度、腔室側壁溫度、ESC溫度)等。另外,顯示該等程式或處理條件的配方亦可記憶於硬碟或半導體記憶體,亦可構成為儲存於以CD-ROM、DVD等可搬性之電腦可讀取之記憶媒體的狀態下,設定於記憶區域之既定位置。
藉由已作為一範例而說明之本實施形態相關之電漿蝕刻裝置1,來實施後述之電漿蝕刻方法。該情況,首先,係開啟閘閥G,並將形成有既定之處理對象膜之晶圓W,以未圖示之搬送臂等來搬送至腔室10,而載置於下部電極20上。接著,以控制部100控制各部來生成所欲之電漿。藉由所生成之電漿的作用來實行所欲之電漿蝕刻,便可實施後述之電漿蝕刻方法。以上,已就本實施形態相關之電漿蝕刻裝置1的整體結構加以說明。
[電漿蝕刻方法]
在圖2顯示本實施形態相關之電漿蝕刻方法的一範例之流程圖。
本實施形態相關之電漿蝕刻方法係將包含蝕刻對象膜及經圖案化之遮罩的被處理體電漿蝕刻之電漿蝕刻方法,如圖2所示,其係具有:第1工序(S1000),係使用該遮罩來將該蝕刻對象膜電漿蝕刻;以及第2工序(S2000),係在藉由該第1工序所蝕刻之該蝕刻對象膜的側壁部之至少一部份,以含矽氣體之電漿來沉積含矽膜。
就各個工序,使用圖3來更加詳細地說明。
在圖3顯示用以說明本實施形態之電漿蝕刻方法的一範例之概略圖。
圖3中,係就對於在矽基材150之表面,依序積層有氧化膜155、ACL膜160、矽氮氧化膜(SiON)165、反射防止膜170(BARC膜170)及光罩膜175之半導體晶圓W,實施電漿蝕刻處理的情況來加以說明。就該半導體晶圓W之層構造來進行簡單地說明。
矽基材150係由矽所形成之圓盤狀薄板,例如實施熱氧化處理等,便會在表面形成有氧化膜(SiO2膜)155。又,氧化膜155上係遮罩層,且形成有作為下層阻劑膜之機能的ACL膜160。ACL膜160上係藉由例如CVD處理或PVD處理來在表面形成有SiON膜165。該SiON膜165上係藉由例如塗步處理來形成有BARC膜170,進一步地,藉由例如旋轉塗布機等來形成有光阻膜175。BARC膜170係包含有含有將特定波長之光線,例如朝向光罩膜175照射之ArF準分子雷射光吸收之色素的高分子樹脂而形成。該BARC膜170會實現防止穿透光罩175之ArF準分子雷射光藉由SiON膜165或ACL膜160反射而再次回到光罩膜175之作用。光罩膜175係包含例如正型感光性樹脂,而當被ArF準分子雷射光照射時會變質為鹼可溶性。
對於此般之半導體晶圓W,首先,如圖3(a)所示,係將光罩膜175圖案化。光罩膜175之圖案化可利用習知之光微影技術來加以實施。
接著,如圖3(b),將經圖案化之光罩175作為遮罩,並藉由電漿處理來蝕刻BARC膜170及SiON膜165。
作為BARC膜170及SiON膜165之蝕刻時的處理氣體雖然未特別限制,但從將BARC膜170及SiON膜165以高長寬比、高蝕刻速率來進行蝕刻的觀點看來,較佳地係使用四氟化碳(CF4)等氟碳(CF)系氣體及氧(O2)氣體之混合氣體。
接著,如圖3(c)所示,將SiON膜165作為遮罩,並藉由電漿蝕刻處理,來蝕刻ACL膜160(S1000)。
作為蝕刻時之處理氣體雖未特別限制,但從抑制反曲之發生而形成所欲形狀的開口部(孔或凹槽)之觀點看來,較佳地係使用氧(O2)氣體及硫化羰(COS)氣體混合之氣體。
在ACL膜160之蝕刻時,在ACL膜160之厚度方向以垂直方向所切割之剖面會相對於SiON膜165之剖面變寬,而成為反曲產生之課題。作為 更具體之範例係如圖3(c)所示,有關ACL膜160之開口部寬度H2(將圖3中開口部寬度最大處之寬度作為H2)會較有關SiON膜165之開口部寬度H1要大。
就反曲產生的理由,來進行簡單地說明。在蝕刻中,處理氣體會藉由高頻電力來變為電漿以讓離子(及自由基)產生,並藉由其與處理對象物衝撞來加以進行。離子雖主要會朝圖3中之垂直方向下方入射,但因電漿中之分子衝撞而離子散亂等,便會相對於前述垂直方向下方而具有入射角來入射。亦即,離子會衝撞於ACL膜160之側壁部180而產生反曲。一般而言,如圖3(c)所示,因反曲,便會使得ACL膜160之前述剖面形狀在接近於作為遮罩的SiON膜165之側變大。亦即,相較於ACL膜160底部之剖面形狀,頂部之剖面形狀會有變大之傾向。
為了滿足半導體元件近年來之小型化要求,即便為些微的反曲,較佳地仍要抑制其產生。因反曲之產生,便會使得ACL膜160中,相鄰之開口部間之分隔壁的寬度不足,而產生有ACL膜160破損之遮罩破損等問題。
於是,本實施形態中,如圖3(d)所示,作為第2工序,係至少在蝕刻對象膜(圖3之範例中係ACL膜160)的側壁部180之至少一部分,以含矽氣體之電漿來沉積含矽膜185(S2000)。
作為含矽氣體,只要是可藉由使用該含矽氣體之電漿CVD(化學氣相沉積)來在蝕刻對象膜(圖3之範例中係ACL膜160)之側壁部180的至少一部分沉積含矽膜的話,並未特別限制。本實施形態中,作為一範例係使用四氯化矽(SiCl4),四氟化矽(SiF4)等含矽氣體、氫(H2)等還原性氣體、以及包含氮(N2),稀有氣體(例如氦(He))等非活性氣體的稀釋氣體之混合氣體。藉此,便會在ACL膜160之側壁部180沉積有包含矽、氧化矽(SiO、SiO2等)及/或氮化矽(Si3N4等)等之含矽膜185。
該第2工序中,包含含矽氣體之處理氣體會藉由高頻電力來變為電漿以產生離子或自由基,而有助於該等作為沉積物。如前述,離子會因電漿中之分子衝撞而離子散亂等,相對於圖3(c)之垂直方向下方而具有入射角來入射。因此,ACL膜160之側壁部180中,頂部會較底部有容易沉積含矽膜之傾向。亦即,本實施形態的第2工序可說是對改善反曲形狀有效果之程序,而可藉由第2工序,來形成良好的垂直加工形狀之開口部。又,亦 可維持底部之線寬(CD:Critical Dimension)。進一步地,與朝SiON膜165上之含矽膜的沉積量相比,由於朝底部之含矽膜的沉積量會較小,故遮罩之殘存量可變大,而可形成具有高長寬比之開口部。
接著,如圖3(e)所示,將該含矽膜185、SiON膜165及ACL膜160等之氧化膜155上的膜構造作為遮罩,來蝕刻氧化膜155。由於藉由第2工序,便會形成良好的垂直加工形狀之開口部,故即便在氧化膜155之蝕刻時,亦可形成抑制了反曲之垂直加工形狀的開口部。
另外,圖3(c)所示之範例中,係顯示在藉由第1工序中之ACL膜160的蝕刻,來露出為下層之氧化膜155之後,實施第2工序之範例。然而,本實施形態不限定於該點,亦可為一邊重複進行第1工序與第2工序,一邊讓ACL膜160之蝕刻進行,而使得氧化膜155露出之程序。一般而言,例如,亦可在第1工序之途中,在反曲產生的既定之時間點實施第2工序來改善反曲形狀之側壁部形狀,再實施第1工序(進一步地有之後的第2工序)。該情況,作為開始第2工序之時機點,只要在因第1工序中之反曲而使得開口部之寬度變大,且相鄰之開口部間的分隔壁之寬度不足之前的話,則未特別限定。又,亦可重複實施第1工序及第2工序。
又,圖3之範例中,雖已就選擇ACL膜160來作為蝕刻對象膜,並在該蝕刻時會產生反曲而以第2工序來改善反曲之實施例來加以說明,但本發明不限定於此點,而亦可將其他膜作為蝕刻對象膜來加以使用。例如,在圖3(e)之氧化膜155蝕刻時即便產生有反曲的情況中,亦可藉由第2工序,來在該氧化膜155之側壁部的至少一部分沉積含矽膜,而改善反曲。
接著,便舉個具體的本實施形態,就本實施形態之電漿蝕刻方法,進行更加詳細地說明。
[第1實施形態]
第1實施形態中,係就經實證本實施形態之電漿蝕刻方法能改善反曲的本實施形態例,來加以說明。
本實施形態中,係使用預先在矽基材150之表面,依序沉積有氧化膜155、ACL膜160、SiON膜165、反射防止膜170(BARC膜170)及光罩膜175之半導體晶圓W。又,在本實施形態之電漿蝕刻方法之前,係將光罩 膜175圖案化為既定之圖案,而將該光罩膜175作為遮罩來蝕刻(圖案化)反射防止膜170及SiON膜165。
對於該半導體晶圓W,係實施第1工序的電漿蝕刻工序及第2工序的含矽膜沉積工序。
作為第1工序及第2工序之程序條件係:[第1工序之程序條件]
壓力:10mT(1.33Pa)
功率:第1高頻電力/1000W
上部電極之電位:0V
氣體流量:O2氣體/COS氣體 200/17sccm
蝕刻時間:120秒
[第2工序之程序條件]
壓力:300mT(40Pa)
功率:第1高頻電力/250W,第2高頻電力/300W
氣體流量:SiCl4氣體/He氣體/H2氣體 50/600/150sccm
沉積時間:60秒。
在圖4顯示用以說明本實施形態相關之電漿蝕刻方法的效果之一範例的SEM照片。更具體而言,圖4(a)係在第1工序後第2工序前之SEM照片,圖4(b)係第2工序後之SEM照片。
從圖4(a)與圖4(b)之SEM照片的比較便可明瞭,藉由實施第2工序,便可得到垂直加工形狀良好的開口部(孔)。
又,就圖4(a)及圖4(b)之半導體晶圓W,來求出「反曲CD」及「底部CD」。另外,本說明書中,「反曲CD」及「底部CD」係有關各個相鄰之ACL膜160圖案間之寬度,並將最寬之寬度定義為「反曲CD」,而將開口部下端之寬度定義為「底部CD」。
圖4(a)中之「反曲CD」係130nm,而「底部CD」係86nm。另一方面,圖4(b)中之「反曲CD」係110nm,而「底部CD」係76nm。從該等之結果,確認到藉由第2工序,便可改善反曲。又,確認到含矽膜有容易在反曲形狀部分沉積之傾向,而可維持底部之CD。
[第1實施形態的變形例]
作為第1實施形態之變形例,就進一步地將ACL膜160作為遮罩來蝕刻氧化膜155之本實施形態例來加以說明。
本實施形態中,係使用預先在矽基材150表面依序沉積有氧化膜155、ACL膜160、SiON膜165、反射防止膜170(BARC膜170)及光罩膜175之半導體晶圓W。又,在本實施形態之電漿蝕刻方法之前,係將光罩膜175圖案化為既定之圖案,而將該光罩膜175作為遮罩來圖案化反射防止膜170及SiON膜165。
對於該半導體晶圓W,係實施有第1工序的電漿蝕刻工序及第2工序的含矽膜沉積工序。
第1工序及第2工序之程序條件係:[第1工序之程序條件]
壓力:10mT(1.33Pa)
功率:第1高頻電力/1000W
上部電極之電位:0V
氣體流量:O2氣體/COS氣體 200/17sccm
蝕刻時間:2分
[第2工序之程序條件]
壓力:300mT(40Pa)
功率:第1高頻電力/250W,第2高頻電力/300W
氣體流量:SiCl4氣體/He氣體/H2氣體 50/600/150sccm
沉積時間:15秒。
對於所得到的半導體晶圓W,係藉由將ACL膜160作為遮罩之電漿蝕刻方法,來蝕刻氧化膜155。
蝕刻條件係:壓力:40mT(5.33Pa)
功率:第1高頻電力/1200W,第2高頻電力/3000W
上部電極之電位:300V
氣體流量:C4F6氣體/CF4氣體/Ar氣體/O2氣體 32/24/600/40sccm
蝕刻時間:150秒。
在圖5顯示用以說明本實施形態相關之電漿蝕刻方法的效果之其他範例的SEM照片。更加具體而言,圖5(a)係第2工序之工序後SEM照片,而圖5(b)係將圖5(a)之半導體晶圓W的氧化膜155蝕刻後的SEM照片。又,作為比較例,係在圖5(c)顯示第1工序後不久的SEM照片,而在圖5(d)顯示在第1工序後,未經第2工序而將氧化膜155蝕刻後之SEM照片。
從圖5(b)與圖5(d)之比較,在實施過第2工序之圖5(b)所顯示的本實施形態中,係改善了反曲及頸縮等,而得到垂直加工形狀良好的孔。
圖5(b)中之遮罩殘留量係506nm,而氧化膜155上端之開口寬度(以下,稱為Top CD)係87nm。又,圖5(d)中之遮罩殘留量係446nm,而氧化膜155之Top CD係100nm,反曲CD係100nm。
以上,從第1實施形態及第1實施形態的變形例,已知本實施形態之電漿蝕刻方法可以略垂直且頸縮及反曲較少之形狀來形成微細孔、高長寬比之孔。
[第2實施形態]
第2實施形態中,便就確認了第2工序中,含矽氣體之流量與含矽膜之成膜量之間的關係之本實施形態範例,來加以說明。
本實施形態中,係使用預先在矽基材150表面,依序沉積有氧化膜155、ACL膜160、SiON膜165、反射防止膜170(BARC膜170)及光罩膜175之半導體晶圓W。又,在本實施形態之電漿蝕刻方法之前,係將光罩175圖案化為既定之圖案,而將該光罩膜175作為遮罩來圖案化反射防止膜170及SiON膜165。
對於該半導體晶圓W,係實施第1工序的電漿蝕刻工序及第2工序的含矽膜沉積工序。
第1工序及第2工序之程序條件係:[第1工序之程序條件]
壓力:10mT(1.33Pa)
功率:第1高頻電力/1000W
上部電極之電位:0V
氣體流量:O2氣體/COS氣體 200/17sccm
蝕刻時間:120秒
[第2蝕刻之程序條件]
壓力:300mT(40Pa)
功率:第1高頻電力/250W,第2高頻電力/300W
氣體流量:SiCl4氣體/He氣體/H2氣體 可變流量(10、30或是50sccm)/600/150sccm
沉積時間:20秒。
在圖6顯示用以說明本實施形態相關之電漿蝕刻方法的效果之其他範例的SEM照片。更具體而言,圖6(a)係第2工序時之SiCl4氣體流量為10sccm的本實施形態之SEM照片,圖6(b)係SiCl4氣體流量為30sccm的本實施形態之SEM照片,圖6(c)係SiCl4氣體流量為50sccm之本實施形態之SEM照片。又,在圖6(d)顯示未實施第2工序之本實施形態來作為本實施形態之比較的SEM照片。
圖6(a)~圖6(d)中之「反曲CD」分別為120nm、117nm、117nm、124nm。又,圖6(a)~圖6(d)中之「底部CD」分別為80nm、76nm、76nm、84nm。從該等結果,已知藉由讓含矽氣體之氣體流量增加,便會使得所沉積之含矽膜185之沉積速率增大。從而,如圖6(c)所示,已知藉由含矽膜185來閉塞開口部,便會使得孔內部之沉積速率下降。
以上,從第2實施形態,已知在本實施形態之電漿蝕刻方法的第2工序中,藉由增加含矽氣體之流量,便會使得含矽膜之沉積速率增大。
[第3實施形態]
第3實施形態中,係就選擇氧化膜155來作為蝕刻對象膜之實施形態來加以說明。
本實施形態中,係使用預先在矽基材150表面,依序沉積有氧化膜155、ACL膜160、SiON膜165、反射防止膜170(BARC膜170)及光罩膜175之半導體晶圓W。又,在本實施形態的電漿蝕刻方法之前,係將光罩175膜圖案化為既定之圖案,而將該光罩膜175作為遮罩來圖案化反射防止膜170及SiON膜165。
對於該半導體晶圓W,係實施作為第1工序之ACL膜160的電漿蝕刻工序,以及作為第2工序之朝ACL膜160之側壁部的含矽膜沉積工序,而 進一步地實施作為第1'工序之氧化膜155的電漿蝕刻工序,以及作為第2'工序之朝ACL膜160及氧化膜155的側壁部之含矽膜沉積工序。
各別工序之程序條件係:[第1工序之程序條件]
壓力:10mT(1.33Pa)
功率:第1高頻電力/1000W
上部電極之電位:0V
氣體流量:O2氣體/COS氣體 200/17sccm
蝕刻時間:120秒
[第2工序之程序條件]
壓力:300mT(40Pa)
功率:第1高頻電力/250W,第2高頻電力/300W
氣體流量:SiCl4氣體/He氣體/H2氣體 50/600/150sccm
沉積時間:15秒
[第1'工序之程序條件]
壓力:40mT(5.33Pa)
功率:第1高頻電力/1200W,第2高頻電力/3000W
上部電極之電位:300V
氣體流量:C4F6氣體/CF4氣體/Ar氣體/O2氣體 32/24/600/40sccm
蝕刻時間:160秒
[第2'工序之程序條件]
壓力:300mT(40Pa)
功率:第1高頻電力/250W,第2高頻電力/300W
氣體流量:SiCl4氣體/He氣體/H2氣體 50/600/150sccm
沉積時間:20秒。
對所得到之半導體晶圓W,將氧化膜155電漿蝕刻。
蝕刻條件係:壓力:40mT(5.33Pa)
功率:第1高頻電力/1200W,第2高頻電力/3000W
上部電極之電位:300V
氣體流量:C4F6氣體/CF4氣體/Ar氣體/O2氣體 32/24/600/40sccm
蝕刻時間:50秒。
在圖7顯示用以說明本實施形態相關之電漿蝕刻方法的效果之其他範例的SEM照片。更具體而言,圖7(a)係在第2'之工序後,實施上述氧化膜155相關之電漿蝕刻處理後的SEM照片,圖7(b)係作為參考例之未實施第2'工序的情況之SEM照片。
圖7(a)中之相鄰圖案間的最大寬度係97nm,遮罩殘留量係414nm。另一方面,圖7(b)中之相鄰圖案間的最大寬度係107nm,遮罩殘留量係410nm。
以上,從第3實施形態,已知即便將ACL膜以外之膜作為蝕刻對象膜來加以蝕刻的情況,亦可藉由第2工序來在開口部之側壁部沉積含矽膜,以改善反曲形狀。
(第4實施形態)
第4實施形態中,係對於1個蝕刻對象膜,就實施複數第1工序及第2工序的實施形態,來加以說明。
對於第3實施形態所得到的半導體晶圓W,係實施作為第1"工序(對應於申請專利範圍中之第3工序)之氧化膜155的電漿蝕刻工序,以及作為第2"工序(對應於申請專利範圍中之第4工序)之朝ACL膜160及氧化膜155的側壁部之含矽膜沉積工序。
程序條件:(第1"工序之程序條件)
對所得到之半導體晶圓W,電漿蝕刻氧化膜155。
蝕刻條件係:壓力:40mT(5.33Pa)
功率:第1高頻電力/1200W,第2高頻電力/3000W
上部電極之電位:300V
氣體流量:C4F6氣體/CF4氣體/Ar氣體/O2氣體 32/24/600/40sccm
蝕刻時間:50秒
(第2"工序之程序條件)
壓力:300mT(40Pa)
功率:第1高頻電力/250W,第2高頻電力/300W
氣體流量:SiCl4氣體/He氣體/H2氣體 可變流量(10,30或50sccm)/600/150sccm
沉積時間:20秒。
又,對第2"工序之後的半導體晶圓W,電漿蝕刻氧化膜155。
蝕刻條件係:壓力:40mT(5.33Pa)
功率:第1高頻電力/1200W,第2高頻電力/3000W
上部電極之電位:300V
氣體流量:C4F6氣體/CF4氣體/Ar氣體/O2氣體 32/24/600/40sccm
蝕刻時間:50秒。
在圖8顯示用以說明本實施形態相關之電漿蝕刻方法的效果之其他範例的SEM照片。更具體而言,圖8(a)係在第2"工序後,實施上述氧化膜155相關的電漿蝕刻處理後之SEM照片,圖8(b)係作為參考例之未實施第2"工序的情況之SEM照片。
圖8(a)中之相鄰圖案間的最大寬度係103nm。另一方面,圖8(b)中之相鄰圖案間的最大寬度係117nm。
以上,從第4實施形態,已知藉由重複第1工序及第2工序,便可一邊改善反曲形狀,一邊進行電漿蝕刻。
另外,本發明不限於於上述本實施形態所舉之結構等,以及與其他要素之組合等,而在此所顯示之結構。有關該等之要點可在不脫離本發明之主旨的範圍而有所變更,亦可對應於其應用形態來適當地決定。
1‧‧‧電漿蝕刻裝置
10‧‧‧腔室
15‧‧‧氣體供給源
20‧‧‧下部電極
25‧‧‧上部電極
30‧‧‧電力供給裝置
32‧‧‧第1高頻電源
33‧‧‧第1匹配器
34‧‧‧第2高頻電源
35‧‧‧第2匹配器
40‧‧‧遮蔽環
45‧‧‧氣體導入口
50‧‧‧擴散室
55‧‧‧氣體供給孔
60‧‧‧排氣孔
65‧‧‧排氣裝置
100‧‧‧控制裝置
105‧‧‧CPU
110‧‧‧ROM
115‧‧‧RAM
G‧‧‧閘閥
W‧‧‧晶圓

Claims (10)

  1. 一種電漿蝕刻方法,係將包含有蝕刻對象膜及經圖案化之遮罩的被處理體電漿蝕刻之電漿蝕刻方法;其係具有:第1工序,係使用該遮罩來電漿蝕刻該蝕刻對象膜;以及第2工序,係在藉由該第1工序所蝕刻之該蝕刻對象膜的側壁部之至少一部份,以含矽氣體之電漿來沉積含矽膜。
  2. 如申請專利範圍第1項之電漿蝕刻方法,其中該含矽氣體係包含四氯化矽或四氟化矽,以及還原性氣體。
  3. 如申請專利範圍第1項之電漿蝕刻方法,其中該被處理體係包含非晶碳層膜,以及圖案化為既定圖案之無機膜的積層膜;該第1工序係包含藉由含有氧氣體及硫化羰氣體之處理氣體的電漿,使用包含該無機膜之遮罩,來蝕刻該非晶碳層膜之工序。
  4. 如申請專利範圍第2項之電漿蝕刻方法,其中該被處理體係包含非晶碳層膜,以及圖案化為既定圖案之無機膜的積層膜;該第1工序係包含藉由含有氧氣體及硫化羰氣體之處理氣體的電漿,使用包含該無機膜之遮罩,來蝕刻該非晶碳層膜之工序。
  5. 如申請專利範圍第4項之電漿蝕刻方法,其中該被處理體係在與該非晶碳層膜之與該無機膜的相反側之面形成有氧化膜,並藉由重複該第1工序與該第2工序,來讓該氧化膜露出。
  6. 如申請專利範圍第5項之電漿蝕刻方法,其更具有:第3工序,係使用包含非晶碳層膜之遮罩來電漿蝕刻該氧化膜;以及第4工序,係在藉由該第3工序所蝕刻之該氧化膜的側壁部之至少一部分,以含矽氣體之電漿來沉積含矽膜。
  7. 如申請專利範圍第6項之電漿蝕刻方法,其中該第3工序係使用包含氟碳系氣體之處理氣體電漿來進行電漿蝕刻。
  8. 如申請專利範圍第6或7項之電漿蝕刻方法,其係反覆進行該第3工序及該第4工序。
  9. 如申請專利範圍第3至7項任一項之電漿蝕刻方法,其中該被處理體係在該無機膜之該非晶碳層膜之相反側的面依序形成有反射防止膜與經 圖案化為既定圖案之阻劑膜;在該第1工序之前,包含有將該阻劑膜作為遮罩,並藉由包含氟碳系氣體之處理氣體電漿,來將該反射防止膜及該無機膜蝕刻之工序。
  10. 一種電漿蝕刻裝置,係將包含蝕刻對象膜及經圖案化之遮罩的被處理體電漿蝕刻之電漿蝕刻裝置;其係具有:處理容器;保持部,係設置於該處理容器內,並保持該被處理體;電極板,係設置於該處理容器內,並與該保持部對向;氣體供給部,係將處理氣體供給至該保持部與該電極板所夾置之空間;高頻電源,係藉由供給高頻電力至該保持部或是該電極板之至少一者,來將以該氣體供給部所供給至該空間之該處理氣體電漿化;以及控制部,係控制該電漿蝕刻裝置;其中該控制部係在讓該蝕刻對象膜電漿蝕刻後,在被蝕刻之該蝕刻對象膜之側壁部的至少一部分,以含矽氣體電漿來沉積含矽膜。
TW103116778A 2013-05-15 2014-05-13 Plasma etching method and plasma etching device TWI642101B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2013102969A JP2014225501A (ja) 2013-05-15 2013-05-15 プラズマエッチング方法及びプラズマエッチング装置
JP2013-102969 2013-05-15

Publications (2)

Publication Number Publication Date
TW201501202A true TW201501202A (zh) 2015-01-01
TWI642101B TWI642101B (zh) 2018-11-21

Family

ID=51898329

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103116778A TWI642101B (zh) 2013-05-15 2014-05-13 Plasma etching method and plasma etching device

Country Status (6)

Country Link
US (4) US10163653B2 (zh)
JP (1) JP2014225501A (zh)
KR (1) KR102166970B1 (zh)
CN (3) CN105210178A (zh)
TW (1) TWI642101B (zh)
WO (1) WO2014185351A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10157750B2 (en) * 2016-03-28 2018-12-18 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
JP2018206913A (ja) 2017-06-02 2018-12-27 東京エレクトロン株式会社 部材及びプラズマ処理装置
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP7195113B2 (ja) * 2018-11-07 2022-12-23 東京エレクトロン株式会社 処理方法及び基板処理装置
CN111293041A (zh) * 2018-12-06 2020-06-16 东京毅力科创株式会社 蚀刻处理方法和基板处理装置
JP7323409B2 (ja) * 2019-10-01 2023-08-08 東京エレクトロン株式会社 基板処理方法、及び、プラズマ処理装置
CN111243950B (zh) * 2020-01-16 2023-12-22 北京北方华创微电子装备有限公司 一种刻蚀方法
JP2021118347A (ja) * 2020-01-29 2021-08-10 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
US20210233778A1 (en) * 2020-01-29 2021-07-29 Tokyo Electron Limited Etching method, substrate processing apparatus, and substrate processing system
JP7390199B2 (ja) 2020-01-29 2023-12-01 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
US20210391181A1 (en) * 2020-06-15 2021-12-16 Tokyo Electron Limited Forming a semiconductor device using a protective layer
CN112216651A (zh) * 2020-10-30 2021-01-12 上海华力微电子有限公司 浅沟槽隔离结构的形成方法
US11424120B2 (en) 2021-01-22 2022-08-23 Tokyo Electron Limited Plasma etching techniques

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1197414A (ja) * 1997-09-25 1999-04-09 Sony Corp 酸化シリコン系絶縁膜のプラズマエッチング方法
DE10228807B4 (de) * 2002-06-27 2009-07-23 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Mikrostrukturelementen
US7473377B2 (en) 2002-06-27 2009-01-06 Tokyo Electron Limited Plasma processing method
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
WO2004079347A1 (en) * 2003-03-07 2004-09-16 Pfizer Products Inc. Method of analysis of nir data
KR100541152B1 (ko) * 2003-07-18 2006-01-11 매그나칩 반도체 유한회사 반도체 소자의 금속 배선층 형성 방법
US7416676B2 (en) * 2005-02-16 2008-08-26 Tokyo Electron Limited Plasma etching method and apparatus, control program for performing the etching method, and storage medium storing the control program
JP4515278B2 (ja) 2005-02-16 2010-07-28 東京エレクトロン株式会社 エッチング方法、エッチング方法を実行するための制御プログラム、制御プログラム記憶媒体及び処理装置
JP4663368B2 (ja) * 2005-03-28 2011-04-06 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US7411861B2 (en) * 2005-06-30 2008-08-12 Seiko Epson Corporation Integrated circuit device and electronic instrument
SG140538A1 (en) * 2006-08-22 2008-03-28 Lam Res Corp Method for plasma etching performance enhancement
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
JP5067068B2 (ja) * 2007-08-17 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法及び記憶媒体
JP2009076661A (ja) * 2007-09-20 2009-04-09 Elpida Memory Inc 半導体装置の製造方法
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
US20110207323A1 (en) * 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures
JP5563860B2 (ja) 2010-03-26 2014-07-30 東京エレクトロン株式会社 基板処理方法
EP2412343B1 (en) * 2010-07-27 2012-12-19 The Procter & Gamble Company Apparatus and process for transferring substrate material and particulate material
JP5916056B2 (ja) * 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US8679358B2 (en) * 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
CN102693910A (zh) * 2011-03-23 2012-09-26 上海华虹Nec电子有限公司 沟槽的干法刻蚀方法
JP5642001B2 (ja) * 2011-03-25 2014-12-17 東京エレクトロン株式会社 プラズマエッチング方法
JP5981106B2 (ja) 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法

Also Published As

Publication number Publication date
US20190088497A1 (en) 2019-03-21
KR102166970B1 (ko) 2020-10-16
US20200294812A1 (en) 2020-09-17
JP2014225501A (ja) 2014-12-04
US20160086817A1 (en) 2016-03-24
US20220262646A1 (en) 2022-08-18
CN105210178A (zh) 2015-12-30
KR20160008499A (ko) 2016-01-22
TWI642101B (zh) 2018-11-21
US10163653B2 (en) 2018-12-25
US10707091B2 (en) 2020-07-07
CN111326413A (zh) 2020-06-23
US11355352B2 (en) 2022-06-07
CN110729187A (zh) 2020-01-24
WO2014185351A1 (ja) 2014-11-20

Similar Documents

Publication Publication Date Title
TWI642101B (zh) Plasma etching method and plasma etching device
JP4638550B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
KR101048009B1 (ko) 기판 처리 방법
TWI618145B (zh) 電漿蝕刻方法及電漿蝕刻裝置
US20130344702A1 (en) Method of etching silicon nitride films
JP5180121B2 (ja) 基板処理方法
JP2018516463A (ja) 先進的なパターニングプロセスにおけるスペーサ堆積および選択的除去のための装置および方法
JP2007194284A (ja) プラズマ処理方法、プラズマ処理装置、及び記憶媒体
KR20120022582A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US8177990B2 (en) Etching method, plasma processing system and storage medium
TW201923900A (zh) 電漿蝕刻方法
TWI713486B (zh) 蝕刻方法(二)
KR100845453B1 (ko) 반도체 장치의 제조 방법
JP6415636B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP7418632B2 (ja) プラズマ処理装置
JP2006253245A (ja) 微細パターン形成方法
US20220199410A1 (en) Conformal amorphous carbon layer etch with side-wall passivation
JP2023552977A (ja) 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料
Chaplygin et al. Development and Research of a Deep Anisotropic Process for the Polysilicon Gates Implementation Using the ICP-RF System
KR20240011725A (ko) 탄소-함유 재료의 순환 플라즈마 에칭
TW202044336A (zh) 基板處理方法及基板處理裝置
JPH1092792A (ja) 半導体装置の製造方法
JP2011049596A (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置