JP2009076661A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2009076661A
JP2009076661A JP2007243987A JP2007243987A JP2009076661A JP 2009076661 A JP2009076661 A JP 2009076661A JP 2007243987 A JP2007243987 A JP 2007243987A JP 2007243987 A JP2007243987 A JP 2007243987A JP 2009076661 A JP2009076661 A JP 2009076661A
Authority
JP
Japan
Prior art keywords
amorphous carbon
film
carbon film
processed
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007243987A
Other languages
English (en)
Inventor
Mitsunari Sukegawa
光成 祐川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Memory Japan Ltd
Original Assignee
Elpida Memory Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Elpida Memory Inc filed Critical Elpida Memory Inc
Priority to JP2007243987A priority Critical patent/JP2009076661A/ja
Priority to US12/212,236 priority patent/US20090081879A1/en
Publication of JP2009076661A publication Critical patent/JP2009076661A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Abstract

【課題】アモルファスカーボン膜をハードマスク形状に加工する際、ボーイングやパターンの細りの起こらないアモルファスカーボンハードマスクの形成方法を提供する。
【解決手段】アモルファスカーボン膜13を加工する際、途中まで加工した後(16)、露出したアモルファスカーボン膜の側壁に酸化膜からなる保護膜12bを形成する。特に、この保護膜を、アモルファスカーボン膜を加工する際の中間マスク層12aをスパッタリングすることで形成する。
【選択図】図1−2

Description

本発明は、半導体装置の製造方法に関し、特にアモルファスカーボン膜をハードマスクとして用いる半導体装置の製造方法に関する。
近年の半導体微細加工技術の発展に伴い、短波長の光でパターニングされるArFレジストが用いられている。ArFレジストはドライエッチング耐性が低く、また、焦点深度が浅いためレジスト膜厚は薄い。このため、ドライエッチング耐性の高いハードマスクが必要となっており、ハードマスクとしてアモルファスカーボン等を用いる技術(特許文献1)がある。
図5−1,図5−2はアモルファスカーボンをハードマスクとする従来の半導体装置の製造方法を示す工程断面図である。
図5−1(a)に示すように、下層配線5上にシリコン酸化膜4、アモルファスカーボン膜3、中間マスク層2となるシリコン酸窒化膜とシリコン酸化膜からなる積層膜を成膜し、リソグラフィ技術を用いてフォトレジスト材料からなるコンタクトパターン1をパターニングする。中間マスク層2は、炭素を主成分とするフォトレジストがアモルファスカーボンとのエッチング選択比を得ることが困難であることから、一旦、中間マスク層に転写した後、アモルファスカーボンに転写するために設けられている。また、中間マスク層は、フォトレジストに対して反射防止の機能も有する。次に、図5−1(b)に示すように、ドライエッチングプロセスを用いて中間マスク層2を中間マスク2aに加工する。このときエッチングガスとしてはCF等を用いる。
次に図5−2(c)に示すように、中間マスク2aをマスクとしてアモルファスカーボン膜3の加工を行う。このとき、エッチングガスとして酸素を用い、フッ素を用いないガス系を用いることで、アモルファスカーボン膜3は選択的にエッチングされ、薄いレジスト膜で形成したパターン1を厚いアモルファスカーボン膜3にアモルファスカーボンハードマスク3aとして転写することが可能である。
次に、図5−2(d)に示すように、Cガス等を用いてアモルファスカーボンハードマスク3aをマスクとしてシリコン酸化膜4をエッチングすることでコンタクトホール7を加工する。
その後、酸素またはオゾンプラズマアッシング等により残存するアモルファスカーボンハードマスクを除去する。
アモルファスカーボン膜の加工の際、エッチャントとして用いる酸素ラジカルはアモルファスカーボン膜3との反応性が強いため、高いエッチングレートで加工できる反面、横方向にもエッチングされる。このため、アモルファスカーボンハードマスク3aに形成されるコンタクト開口部6が、図5−2(c)に示すようなボーイング形状となるといった問題がある。また、アモルファスカーボンハードマスク3aがこのようなボーイング形状であると、図5−2(d)に示すようにように、コンタクトホール7もボーイング形状になりやすい傾向があり、コンタクトの形成不良が生じるといった問題が生じる。
また、アモルファスカーボンハードマスクを細線状のパターンに加工する場合、パターンの細りが発生し、所望のパターンが得られないという問題がある。
また、細線パターンでは被加工基板のエッチング時に、アモルファスカーボンハードマスクのパターン倒れが懸念される。さらに、細線パターン、開口パターンのいずれにおいても被加工基板のエッチング時のパターン崩れも問題となる場合がある。
特許文献2では、Si含有アモルファスカーボン膜をハードマスクとして用いることで、酸素を用いたアモルファスカーボンのエッチングの際、酸素とSi含有アモルファスカーボンハードマスクが反応してハードマスクの表面に酸化膜を形成し、ハードマスクのサイドエッチが抑制できることが開示されている。しかしながら、Siの分散状況によって、側壁に形成される酸化膜厚も所々異なり、また除去される部分に含まれるSiも酸化され、露出した被加工基板の表面にこの酸化物がデポ物として堆積することが懸念されるため、更なる改良の余地がある。
特開2002−194547号公報 特開2005−45053号公報
そこで、本発明は、アモルファスカーボン膜をハードマスク形状に加工する際、ボーイングやパターンの細りの起こらないアモルファスカーボンハードマスクの形成方法を提供することにある。また、パターン倒れやパターン崩れを防止する形成方法を提供することにある。
本発明者は、アモルファスカーボン膜を、酸素を含むガスで加工する際に、アモルファスカーボン膜の途中まで加工した後、加工によって形成される側壁部に保護膜、特にシリコン酸化膜からなる保護膜を形成することで加工形状の不良を防止することができることを見出した。
すなわち本発明は、
アモルファスカーボンをハードマスクとして用いる半導体装置の製造方法であって、
(A)被加工基板上にアモルファスカーボン膜を成膜し、該アモルファスカーボン膜上にシリコン酸化膜を含む中間マスク層を形成する工程、
(B)該中間マスク層を中間マスク形状に加工する工程、
(C)該加工された中間マスク層をマスクとしてアモルファスカーボン膜の一部をエッチングし、アモルファスカーボン膜の側壁を露出させる工程、
(D)該アモルファスカーボン膜の側壁に酸化膜からなる保護膜を形成する工程、
(E)アモルファスカーボン膜を被加工基板が露出するまで加工する工程、および
(F)アモルファスカーボン膜をマスクに被加工基板を加工する工程
とを含むことを特徴とする前記製造方法に関する。
本発明によれば、アモルファスカーボン膜をハードマスク形状に加工する際、アモルファスカーボン膜の途中まで加工した後、アモルファスカーボン側壁にシリコン酸化膜の保護膜を形成し、さらにアモルファスカーボン膜の加工を行うことにより、アモルファスカーボン膜のサイドエッチングが防止され、異方性の高い垂直な加工形状を得ることができる。
また、本発明によれば、アモルファスカーボン膜の側壁に形成する保護膜を、アモルファスカーボン膜にパターンを転写するための中間マスク層のスパッタリングにより形成することで、加工の一括処理が可能であり、プロセスの簡略化が可能であると同時に、パターン依存性の無い、加工形状を得ることが可能である。
中間マスク層のスパッタリングでは、パターン底部に保護膜がほとんど形成されないため、酸化膜エッチング工程を追加することなくアモルファスカーボン膜の加工が可能となり、プロセス時間短縮及びプロセスマージン拡大が可能となる。
さらに本発明では、アモルファスカーボン側壁に保護膜を形成することにより、アモルファスカーボンをマスクとして加工する絶縁膜のエッチングの際、アモルファスカーボンのパターン倒れやパターン変形を防止する効果がある。
本発明の一実施例になる半導体装置の製造方法について、図面を参照しながら説明する。工程(a)〜(f)〔図1−1〜図1−3〕は、本発明の一実施例になる半導体装置の製造方法を説明する工程断面図である。
まず、工程(a)〔図1−1(a)〕に示すように、下層配線15上にシリコン酸化膜14、アモルファスカーボン膜13、中間マスク層12となるシリコン酸窒化膜とシリコン酸化膜からなる積層膜を成膜し、リソグラフィ技術を用いてフォトレジスト材料からなるコンタクトパターン11をパターニングする。アモルファスカーボン膜3の成膜方法は、プラズマチャンバにプロピレン等の炭化水素化合物CxHy及び不活性ガスであるArあるいはHeを供給し、混合ガスをプラズマにより熱分解し、チャンバ内のウェハにアモルファスカーボン膜を堆積させる方法を用い、このときウェハ温度は、例えば、100℃〜600℃、チャンバ内圧力は約133Pa〜約2.67kPa(約1〜約20Torr)とする。中間マスク層12はプラズマCVD法によって成膜されるシリコン酸窒化膜とシリコン酸化膜の積層膜であり、膜厚はそれぞれ10〜30nm、30〜100nmとする。
次に、工程(b)〔図1−1(b)〕に示すように、ドライエッチングプロセスを用いて中間マスク層12を加工する。ここでは、図4に示すRF周波数が13.56MHzの有磁場RIEドライエッチング装置を用いて、中間マスク層12の加工を行う。中間マスク層12のエッチングガスとしてはCFを用い、チャンバ圧力は4.0〜20.0Pa(30〜150mTorr)、RFパワーは300〜2000W、ステージ温度は0〜60℃とし、エッチング後は工程(b)に示す形状となる。
図4に示す装置は、プラズマチャンバー30内にウエハ35を載置して処理する装置であり、ウエハ35は静電チャックステージ32上に静電的に固定載置されている。静電チャックステージ32内には、RF電源34に接続された下部電極が設置されている。プラズマチャンバー30内のウエハに対向する側には上部電極36があり、上部電極36には、ガス吹き出し穴37が設けられている。ドライエッチング処理時には、排気口31よりチャンバー30内を排気し、センターガスライン38及びエッジガスライン39よりエッチャントガスを導入し、ガス吹き出し穴37より均一にガスを導入する。
次に工程(c)〔図1−2(c)〕に示すように、加工された中間マスク12aをマスクとしてアモルファスカーボン膜13の加工を行う。前記同様に図4に示す装置により、アモルファスカーボン膜13を途中までエッチングし、開口16を形成する。このときのエッチングガスとして酸素、アルゴンを用い、チャンバ圧力は1.33〜6.67Pa(10〜50mTorr)、RFパワーは200〜1000Wとする。この際、レジスト材料が残らないようにエッチング時間を調整する。
次に、工程(d)〔図1−2(d)〕に示すように、中間マスク12aを、酸素を用いないガス系を用いてエッチングすることによりスパッタリングし、アモルファスカーボン膜13に空けた開口16の側壁に酸化物の保護膜12bを形成する。このときエッチングガスはアルゴンを用い、チャンバ圧力は1.33〜6.67Pa(10〜50mTorr)、RFパワーは200〜1000Wとする。
次に、工程(e)〔図1−3(e)〕に示すように、アモルファスカーボン膜13を下層のシリコン酸化膜14が露出するまでエッチングし、開口16’を有するアモルファスカーボンハードマスク13aとする。このときエッチングガスとして酸素、アルゴンを用い、チャンバ圧力は1.33〜6.67Pa(10〜50mTorr)、RFパワーは200〜1000Wとする。前記工程(d)におけるアモルファスカーボン膜13の開口16底部の酸化物保護膜12bは膜厚が薄く、エッチングの支障とはならない。
次に、工程(f)〔図1−3(f)〕に示すように、アモルファスカーボンハードマスク13aを通してCガス等を用いてシリコン酸化膜14をドライエッチング加工し、酸素ガスを用いてボトムレイヤーを剥離することにより、シリコン酸化膜14中にコンタクトホール17を形成する。
工程(b)におけるエッチングガスとして、CHF、CH、CHF、C、C、C等のフロロカーボンガスを用いることができる。
また、工程(c)におけるアモルファスカーボン膜のエッチングガスとして、水素と窒素の混合ガスを用いることで、酸素を用いた場合と比較して開口16の口径の拡大を防ぐことができる。このとき、チャンバー圧力は6.67〜26.7Pa(50〜200mTorr)、RFパワーは400W〜3000W、ステージ温度は60℃とし、水素と窒素の流量比は2:1〜4:1とすることが好ましい。
また、工程(d)におけるエッチングガスとしても、同様に水素と窒素の混合ガスを用いることができる。
上記の説明では、アモルファスカーボン膜の側壁に酸化膜を形成する工程は1回のみ行っているが、アモルファスカーボン膜が厚い場合には、アモルファスカーボン膜を所定の深さに加工するたびに酸化膜形成のための中間マスク層のスパッタリングを実施しても良い。
〔他の実施例〕
図2−1〜図2−3を参照してその他の実施例の製造方法を説明する。
まず、図2−1(a)に示すように、配線材料25上にシリコン窒化膜24、アモルファスカーボン膜23、中間マスク層22をCVD法によって成膜し、リソグラフィ技術を用いて配線レジストパターン21を形成する。中間マスク層22はプラズマCVD法によって成膜されるシリコン酸窒化膜とシリコン酸化膜の積層膜であり、膜厚はそれぞれ10〜30nm、30〜100nmとする。
次に、図4に示すRF周波数が13.56MHzの有磁場RIEドライエッチング装置を用いて中間マスク層22及びアモルファスカーボン膜23の加工を行う。中間マスク層22のエッチングガスとしてはCFを用い、チャンバ圧力は4.0〜20.0Pa(30〜150mTorr)、RFパワーは300〜2000W、ステージ温度は0〜60℃とし、エッチング後は図2−1(b)に示す中間マスク22aとなる。
次に図2−2(c)に示すように、アモルファスカーボン膜23を途中までエッチングする。このときエッチングガスとして酸素、アルゴンを用い、チャンバ圧力は1.33〜6.67Pa(10〜50mTorr)、RFパワーは200〜1000Wとする。
次に、図2−2(d)に示すように、中間マスク22aを酸素を用いないガス系を用いてエッチングすることによりスパッタリングし、アモルファスカーボン膜23の側壁に酸化物の保護膜22bを形成する。エッチングガスはアルゴンを用い、チャンバ圧力は1.33〜6.67Pa(10〜50mTorr)、RFパワーは200〜1000Wとする。
次に、図2−3(e)に示すように、アモルファスカーボン膜23を下層のシリコン窒化膜24が露出するまでエッチングしてアモルファスカーボンハードマスク23aとする。このときエッチングガスとして酸素、アルゴンを用い、チャンバ圧力は1.33〜6.67Pa(10〜50mTorr)、RFパワーは200〜1000Wとする。
次に、図2−3(f)に示すように、CFガス等を用いてシリコン窒化膜24をドライエッチング加工し、酸素ガスを用いてボトムレイヤーを剥離することにより、シリコン窒化膜24にパターンを転写する。
このようにして、アモルファスカーボン膜23の細りを防止することができる。
また、アモルファスカーボン膜の膜厚があまり厚くなく、細りがあまり問題とならない場合でも、パターン精度をより向上するために、中間マスク層のスパッタリングによる保護膜の形成を利用することができる。
図2−1(b)まで前記同様に処理したのち、図3(c)に示すようにアモルファスカーボン膜23をエッチングする。次に、図3(d)に示すように、中間マスク22aを酸素を用いないガス系を用いてエッチングすることによりスパッタリングし、アモルファスカーボン膜23の側壁に酸化物の保護膜22cを形成する。その後、前記同様にCFガス等を用いてシリコン窒化膜24をドライエッチング加工し、酸素ガスを用いてボトムレイヤーを剥離することにより、シリコン窒化膜24にパターンを転写する。
このように、アモルファスカーボンハードマスクパターン自体を保護膜で覆うことにより、シリコン窒化膜のドライエッチング時のパターン精度がさらに良好なものとなる。
本発明の活用例として、記憶装置に用いるDRAM半導体装置の製造方法において、シリンダ型容量を形成する開口の形成や微細なコンタクトホールの形成が挙げられる。
本発明の一実施例になる半導体装置の製造方法を説明する工程断面図である。 本発明の一実施例になる半導体装置の製造方法を説明する工程断面図である。 本発明の一実施例になる半導体装置の製造方法を説明する工程断面図である。 本発明の別の一実施例になる半導体装置の製造方法を説明する工程断面図である。 本発明の別の一実施例になる半導体装置の製造方法を説明する工程断面図である。 本発明の別の一実施例になる半導体装置の製造方法を説明する工程断面図である。 本発明の別の一実施例の変形例を説明する工程断面図である。 本発明の実施例で使用した有磁場RIEドライエッチング装置の構成を示す概略図である。 従来の半導体装置の製造方法を説明する工程断面図である。 従来の半導体装置の製造方法を説明する工程断面図である。
符号の説明
1 コンタクトパターンフォトレジスト
2 中間マスク層
2a 中間マスク
3 アモルファスカーボン膜
3a アモルファスカーボンハードマスク
4 シリコン酸化膜
5 下層配線
6 開口
7 コンタクトホール
11 コンタクトパターンフォトレジスト
12 中間マスク層
12a 中間マスク
12b 側壁保護膜
13 アモルファスカーボン膜
13a アモルファスカーボンハードマスク
14 シリコン酸化膜
15 下層配線
16、16’ 開口
17 コンタクトホール
21 配線レジストパターン
22 中間マスク層
22a 中間マスク
22b 側壁保護膜
22c 側壁保護膜
23 アモルファスカーボン膜
23a アモルファスカーボンハードマスク
24 シリコン窒化膜
25 導電膜
30 プラズマチャンバー
31 排気口
32 静電チャックステージ
33 下部電極
34 RF電源
35 シリコンウェハ
36 上部電極
37 ガス吹き出し穴
38 センターガスライン
39 エッジガスライン

Claims (6)

  1. アモルファスカーボンをハードマスクとして用いる半導体装置の製造方法であって、
    (A)被加工基板上にアモルファスカーボン膜を成膜し、該アモルファスカーボン膜上にシリコン酸化膜を含む中間マスク層を形成する工程、
    (B)該中間マスク層を中間マスク形状に加工する工程、
    (C)該加工された中間マスク層をマスクとしてアモルファスカーボン膜の一部をエッチングし、アモルファスカーボン膜の側壁を露出させる工程、
    (D)該アモルファスカーボン膜の側壁に酸化膜からなる保護膜を形成する工程、
    (E)アモルファスカーボン膜を被加工基板が露出するまで加工する工程、および
    (F)アモルファスカーボン膜をマスクに被加工基板を加工する工程
    とを含むことを特徴とする前記製造方法。
  2. 前記酸化膜からなる保護膜を形成する際、前記中間マスク層をスパッタリングすることにより、前記保護膜を形成することを特徴とする請求項1に記載の製造方法。
  3. 前記中間マスク層をスパッタリングするガスとして、アルゴンを含むガスを用いることを特徴とする請求項2に記載の製造方法。
  4. アモルファスカーボン膜の側壁に酸化膜の保護膜を形成した後、残りのアモルファスカーボンを加工する際、酸素を用いたガス系を用いてドライエッチングを行うことを特徴とする請求項1乃至3のいずれか1項に記載の製造方法。
  5. 被加工基板を露出させた後、アモルファスカーボン膜の側壁に酸化膜の保護膜を形成することを特徴とする請求項1乃至4のいずれか1項に記載の製造方法。
  6. 前記酸化膜からなる保護膜を形成する際、前記残存する中間マスク層をスパッタリングすることにより、前記保護膜を形成することを特徴とする請求項5に記載の製造方法。
JP2007243987A 2007-09-20 2007-09-20 半導体装置の製造方法 Pending JP2009076661A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2007243987A JP2009076661A (ja) 2007-09-20 2007-09-20 半導体装置の製造方法
US12/212,236 US20090081879A1 (en) 2007-09-20 2008-09-17 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007243987A JP2009076661A (ja) 2007-09-20 2007-09-20 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2009076661A true JP2009076661A (ja) 2009-04-09

Family

ID=40472136

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007243987A Pending JP2009076661A (ja) 2007-09-20 2007-09-20 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US20090081879A1 (ja)
JP (1) JP2009076661A (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010016213A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
WO2014185351A1 (ja) * 2013-05-15 2014-11-20 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8956982B2 (en) 2011-03-25 2015-02-17 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
KR20160006122A (ko) * 2014-07-08 2016-01-18 신에쓰 가가꾸 고교 가부시끼가이샤 다층막 형성방법 및 패턴 형성방법
KR20160141052A (ko) * 2015-05-27 2016-12-08 삼성전자주식회사 반도체 소자의 제조 방법
JP2017195381A (ja) * 2017-05-25 2017-10-26 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
KR20170133372A (ko) * 2015-04-02 2017-12-05 어플라이드 머티어리얼스, 인코포레이티드 패터닝을 위한 마스크 에칭
CN108615681A (zh) * 2018-03-20 2018-10-02 长江存储科技有限责任公司 刻蚀方法
WO2020008933A1 (ja) * 2018-07-04 2020-01-09 東京エレクトロン株式会社 エッチング方法及び基板処理装置
JP2022511446A (ja) * 2019-02-11 2022-01-31 長江存儲科技有限責任公司 保護層のin-situ形成を伴う新規のエッチング処理
JP7339032B2 (ja) 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130084685A1 (en) * 2011-09-30 2013-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for Ion Implantation
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104637807B (zh) * 2013-11-14 2017-11-10 中芯国际集成电路制造(上海)有限公司 应用自对准双构图技术制作半导体器件的方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN109992163B (zh) * 2019-04-15 2023-01-03 业成科技(成都)有限公司 触控感测模组及其制作方法以及应用其的电子装置
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091308A (ja) * 1998-09-07 2000-03-31 Sony Corp 半導体装置の製造方法
WO2007097432A1 (ja) * 2006-02-24 2007-08-30 Tokyo Electron Limited アモルファスカーボン膜の成膜方法及びそれを用いた半導体装置の製造方法
JP2009049141A (ja) * 2007-08-17 2009-03-05 Tokyo Electron Ltd 半導体装置の製造方法及び記憶媒体

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888901A (en) * 1996-08-05 1999-03-30 Motorola, Inc. Multilevel interconnection and method for making
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
JP2002040207A (ja) * 2000-07-27 2002-02-06 Canon Inc 光学付加膜及び光学素子
US7052972B2 (en) * 2003-12-19 2006-05-30 Micron Technology, Inc. Method for forming sublithographic features during the manufacture of a semiconductor device and a resulting in-process apparatus
US7265056B2 (en) * 2004-01-09 2007-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming novel BARC open for precision critical dimension control
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP4630795B2 (ja) * 2005-10-26 2011-02-09 株式会社東芝 パターン形成方法および磁気記録媒体の製造方法
US7785753B2 (en) * 2006-05-17 2010-08-31 Lam Research Corporation Method and apparatus for providing mask in semiconductor processing

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000091308A (ja) * 1998-09-07 2000-03-31 Sony Corp 半導体装置の製造方法
WO2007097432A1 (ja) * 2006-02-24 2007-08-30 Tokyo Electron Limited アモルファスカーボン膜の成膜方法及びそれを用いた半導体装置の製造方法
JP2009049141A (ja) * 2007-08-17 2009-03-05 Tokyo Electron Ltd 半導体装置の製造方法及び記憶媒体

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8298960B2 (en) 2008-07-04 2012-10-30 Tokyo Electron Limited Plasma etching method, control program and computer storage medium
US8642482B2 (en) 2008-07-04 2014-02-04 Tokyo Electron Limited Plasma etching method, control program and computer storage medium
JP2010016213A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
US8956982B2 (en) 2011-03-25 2015-02-17 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
CN105210178A (zh) * 2013-05-15 2015-12-30 东京毅力科创株式会社 等离子体蚀刻方法和等离子体蚀刻装置
JP2014225501A (ja) * 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US10163653B2 (en) 2013-05-15 2018-12-25 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
US11355352B2 (en) 2013-05-15 2022-06-07 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
US10707091B2 (en) 2013-05-15 2020-07-07 Tokyo Electron Limited Plasma etching method and plasma etching apparatus
WO2014185351A1 (ja) * 2013-05-15 2014-11-20 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
KR20160006122A (ko) * 2014-07-08 2016-01-18 신에쓰 가가꾸 고교 가부시끼가이샤 다층막 형성방법 및 패턴 형성방법
JP2016018051A (ja) * 2014-07-08 2016-02-01 信越化学工業株式会社 多層膜形成方法及びパターン形成方法
KR102038268B1 (ko) * 2014-07-08 2019-10-30 신에쓰 가가꾸 고교 가부시끼가이샤 다층막 형성방법 및 패턴 형성방법
JP2018511166A (ja) * 2015-04-02 2018-04-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated パターニングのためのマスクエッチング
KR20170133372A (ko) * 2015-04-02 2017-12-05 어플라이드 머티어리얼스, 인코포레이티드 패터닝을 위한 마스크 에칭
KR102540076B1 (ko) * 2015-04-02 2023-06-02 어플라이드 머티어리얼스, 인코포레이티드 패터닝을 위한 마스크 에칭
KR102362065B1 (ko) * 2015-05-27 2022-02-14 삼성전자주식회사 반도체 소자의 제조 방법
KR20160141052A (ko) * 2015-05-27 2016-12-08 삼성전자주식회사 반도체 소자의 제조 방법
JP2017195381A (ja) * 2017-05-25 2017-10-26 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
CN108615681A (zh) * 2018-03-20 2018-10-02 长江存储科技有限责任公司 刻蚀方法
WO2020008933A1 (ja) * 2018-07-04 2020-01-09 東京エレクトロン株式会社 エッチング方法及び基板処理装置
JP2022511446A (ja) * 2019-02-11 2022-01-31 長江存儲科技有限責任公司 保護層のin-situ形成を伴う新規のエッチング処理
JP7235864B2 (ja) 2019-02-11 2023-03-08 長江存儲科技有限責任公司 保護層のin-situ形成を伴う新規のエッチング処理
JP7339032B2 (ja) 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置

Also Published As

Publication number Publication date
US20090081879A1 (en) 2009-03-26

Similar Documents

Publication Publication Date Title
JP2009076661A (ja) 半導体装置の製造方法
US7235478B2 (en) Polymer spacer formation
US6335292B1 (en) Method of controlling striations and CD loss in contact oxide etch
JP2007134668A (ja) 半導体素子のトレンチ形成方法及びそれを利用した半導体素子の素子分離方法
JP2009152243A (ja) 半導体装置の製造方法
US20030219988A1 (en) Ashable layers for reducing critical dimensions of integrated circuit features
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
JP2010045264A (ja) 半導体装置の製造方法
US6500727B1 (en) Silicon shallow trench etching with round top corner by photoresist-free process
US7105099B2 (en) Method of reducing pattern pitch in integrated circuits
US7067429B2 (en) Processing method of forming MRAM circuitry
JP2008218999A (ja) 半導体装置の製造方法
KR20050065745A (ko) 반도체 소자의 패턴 형성 방법
JPH11243080A (ja) 半導体基板のエッチング方法
JP2001127039A (ja) 半導体装置の製造方法
JP2003158072A (ja) フォトレジストのパターン間の寸法を小さくする方法
US20060138085A1 (en) Plasma etching method with reduced particles production
JP2006019496A (ja) 集積回路にフォトリソグラフィ解像力を超える最小ピッチを画定する方法
JP2004207286A (ja) ドライエッチング方法および半導体装置の製造方法
KR20070046095A (ko) 유전층 에칭 방법
JP2006032801A (ja) 半導体装置の製造方法
JP2003059907A (ja) 反射防止膜のエッチング方法
KR20010060984A (ko) 반도체 장치의 콘택홀 형성방법
JP2008159717A (ja) エッチング方法
JPH05206085A (ja) 微細パターンの形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100810

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111222

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20140225

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140715