JP7339032B2 - 基板処理方法および基板処理装置 - Google Patents

基板処理方法および基板処理装置 Download PDF

Info

Publication number
JP7339032B2
JP7339032B2 JP2019122068A JP2019122068A JP7339032B2 JP 7339032 B2 JP7339032 B2 JP 7339032B2 JP 2019122068 A JP2019122068 A JP 2019122068A JP 2019122068 A JP2019122068 A JP 2019122068A JP 7339032 B2 JP7339032 B2 JP 7339032B2
Authority
JP
Japan
Prior art keywords
film
gas
substrate processing
processing method
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019122068A
Other languages
English (en)
Other versions
JP2021009899A5 (ja
JP2021009899A (ja
Inventor
祐樹 飯島
亨 久松
圭恵 熊谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019122068A priority Critical patent/JP7339032B2/ja
Priority to CN202010546551.3A priority patent/CN112151370A/zh
Priority to KR1020200074092A priority patent/KR20210001962A/ko
Priority to US16/910,093 priority patent/US11201062B2/en
Publication of JP2021009899A publication Critical patent/JP2021009899A/ja
Priority to US17/540,257 priority patent/US20220093406A1/en
Publication of JP2021009899A5 publication Critical patent/JP2021009899A5/ja
Priority to JP2023136150A priority patent/JP2023159347A/ja
Application granted granted Critical
Publication of JP7339032B2 publication Critical patent/JP7339032B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32394Treating interior parts of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

以下の開示は、基板処理方法および基板処理装置に関する。
従来より、半導体装置の製造工程において、シリンダホールやコンタクトホール等を形成する際にプラズマエッチングが利用されている。近年、高アスペクト比のコンタクトホール(HARC(High Aspect Ratio Contact))等の形成において、ボーイング等の形状異常を抑制することが求められている。
たとえば、有機膜、シリコン含有膜、パターンマスクが下から順に積層された基板に対して、有機膜に凹部を形成した後、シリコン含有膜のスパッタにより凹部内壁面に保護膜を形成する手法が提案されている(特許文献1)。
特開2009-49141号公報
本開示は、エッチングにより基板に形成されるパターン形状を制御することができる技術を提供する。
本開示の一態様による基板処理装置および基板処理方法は、基板を提供する工程と、第1工程と、を含む。基板を提供する工程において、第1膜と、当該第1膜上に形成され、かつ、開口が形成された第2膜と、を有する基板を提供する。第1工程において、第1の処理ガスをプラズマ化して第2膜のスパッタリングと同時に第1膜をエッチングしつつ、第1膜の側壁に前記スパッタリングにより発生した生成物で保護膜を形成する。
本開示によれば、エッチングにより基板に形成されるパターン形状を制御することができる。
図1は、一実施形態に係る基板処理方法の流れの一例を示すフローチャートである。 図2は、一実施形態に係る基板処理方法により処理される積層構造の一例を説明するための図である。 図3は、一実施形態に係る基板処理方法の保護膜形成工程を説明するための図である。 図4は、一実施形態に係る基板処理方法のエッチング工程を説明するための図である。 図5Aは、一実施形態に係る基板処理方法のマスク積層工程を説明するための図である。 図5Bは、一実施形態に係る基板処理方法のマスク積層工程をさらに説明するための図である。 図6Aは、一実施形態に係る基板処理方法の閉塞物除去工程を説明するための図である。 図6Bは、一実施形態に係る基板処理方法の閉塞物除去工程をさらに説明するための図である。 図7Aは、図1の処理の流れの一例を説明するための図である。 図7Bは、図1の処理の流れの他の例を説明するための図である。 図8は、一実施形態に係る基板処理方法のサイクル例1を示すフローチャートである。 図9は、一実施形態に係る基板処理方法のサイクル例2を示すフローチャートである。 図10は、一実施形態に係る基板処理方法のサイクル例3を示すフローチャートである。 図11は、一実施形態に係る基板処理方法のサイクルの組み合わせ例を示すフローチャートである。 図12は、一実施形態に係る基板処理方法により得られる効果について説明するための図である。 図13は、一実施形態に係る基板処理方法において、処理実行要否を判定する際に用いる条件について説明するための図である。 図14は、一実施形態に係る基板処理方法を実現するための基板処理装置の構成の一例を示す図である。 図15Aは、半導体装置の製造工程において形成される積層構造について説明するための図である。 図15Bは、半導体装置の製造工程において形成されるフォトレジストのパターンについて説明するための図である。 図15Cは、半導体装置の製造工程において形成されるシリコン含有膜のパターンについて説明するための図である。 図15Dは、半導体装置の製造工程において形成されるマスクパターンについて説明するための図である。
以下に、開示する実施形態について、図面に基づいて詳細に説明する。なお、本実施形態は限定的なものではない。また、各実施形態は、処理内容を矛盾させない範囲で適宜組み合わせることが可能である。
(半導体装置の製造工程において発生する形状異常の一例)
実施形態について説明する前に、半導体装置の製造工程において発生する形状異常について説明する。図15Aは、半導体装置の製造工程において形成される積層構造について説明するための図である。図15B,図15C,図15Dはそれぞれ、半導体装置の製造工程において形成されるフォトレジストのパターン、シリコン含有膜のパターン、マスクパターンについて説明するための図である。
まず、図15Aに示すように、たとえば、絶縁膜11の上に被エッチング膜である有機膜12、シリコン含有膜13およびフォトレジスト(PR)14を積層する。図15Aの例では、絶縁膜11はたとえばSiO2膜である。有機膜12はたとえば炭素を主成分とする膜である。有機膜12はたとえば、アモルファスカーボン膜(ACL:Amorphous Carbon Layer)である。また、シリコン含有膜13は、シリコンを主成分として含む無機膜である。シリコン含有膜13はたとえば、SiO2(二酸化シリコン)膜、SiN(窒化シリコン)膜、SiON(酸化窒化シリコン)膜、Poly-Si(多結晶シリコン)膜、SiCN(炭窒化シリコン)膜である。シリコン含有膜13は、リソグラフィ工程で反射防止膜(Arc:Anti-Reflection Coating)として機能する材料で形成されてもよい。
図15Aに示す積層構造が形成された後、図15Bに示すように、フォトリソグラフィによりフォトレジスト14にパターンを形成する。フォトレジスト14は、極端紫外線リソグラフィ(EUVL:Extreme Ultraviolet Lithography)を用いて加工されてもよい。次に、フォトレジスト14のパターン上からCF4等のエッチングガスをプラズマ化してエッチングを施す。フォトレジスト14のパターンに沿ってシリコン含有膜13が削られることで、図15Cに示すパターンがシリコン含有膜13に形成される。さらに、シリコン含有膜13に形成されたパターン上から、O2ガス、H2ガス、N2ガス、またはH2ガスとN2ガスの混合ガス等をプラズマ化して有機膜12をエッチングする。図15Dに示すように有機膜12に形成されたマスクパターンは、有機膜12下の絶縁膜11のエッチング時のマスクパターンとなる。
有機膜12のエッチング中、有機膜12は酸素ラジカルにより等方的にエッチングされ、図15Dに示すように、マスクパターンの縦断面が横方向に広がるボーイング20が形成される。ボーイング20が形成されたマスクパターンを用いて絶縁膜11をエッチングすると、有機膜12の形状が絶縁膜11に転写され、絶縁膜11に形成されるホールの形状が悪化する。
(実施形態)
そこで、以下に説明する実施形態では、シリコン含有膜をハードマスクとして有機膜をエッチングする際に、シリコン含有膜のスパッタにより生じる生成物(堆積物)を有機膜の保護膜として利用する。第1の実施形態に係る基板処理方法では、保護膜を形成する領域を、たとえば有機膜に形成するパターンのうち少なくともアスペクト比5、たとえば、アスペクト比5~7程度の領域とする。また、第1の実施形態に係る基板処理方法では、複数の処理工程を組み合わせることにより、エッチングにより基板に形成されるパターンの形状を制御する。工程の1つにおいて、たとえば、スパッタにより生じるスパッタ生成物によるパターンの側壁保護を実現する。
一実施形態に係る基板処理方法は、基板上に絶縁膜31、有機膜32、シリコン含有膜33が順番に積層された積層構造S(図2参照)に対して、絶縁膜31をエッチングするためのパターンを有機膜32に形成する。図2は、一実施形態に係る基板処理方法により処理される積層構造の一例を説明するための図である。
絶縁膜31は、たとえば、SiO2膜である。有機膜32に形成されるパターンの上からエッチングを実行することで、絶縁膜31に所定のパターンが形成される。絶縁膜31に形成されるパターンは、半導体装置のシリンダホール、コンタクトホール等となる。
有機膜32はたとえば、炭素を主成分とする膜である。有機膜32はたとえば、アモルファスカーボン膜である。本実施形態にかかる基板処理方法は主として、有機膜32に形成するパターンの形状を制御する。
シリコン含有膜33はたとえば、SiO2膜、SiN膜、SiON膜、Poly-Si膜、SiCN膜である。シリコン含有膜33は、リソグラフィ工程で反射防止膜として機能する材料で形成されてもよい。シリコン含有膜33は、有機膜32のエッチングの際に、ハードマスクとして機能する。シリコン含有膜33には、所定のパターンが形成されている。以下、シリコン含有膜33に形成されたパターンを「開口」と称するものとする。
一実施形態に係る基板処理方法は、下記(1)~(4)の工程を組み合わせることにより、有機膜32に形成されるパターンを制御する。
(1)保護膜形成工程
(2)エッチング工程
(3)マスク積層工程
(4)閉塞物除去工程
(保護膜形成工程)
保護膜形成工程では、処理ガスをプラズマ化して、シリコン含有膜33をスパッタしつつ有機膜32をエッチングする。スパッタにより生じたシリコン含有膜33のスパッタ生成物は、有機膜32に形成される凹部の側壁に堆積し保護膜40を形成する。保護膜形成工程で使用する処理ガスは、第1の処理ガスの一例である。また、保護膜形成工程は、第1工程の一例である。
図3は、一実施形態に係る基板処理方法の保護膜形成工程を説明するための図である。図2に示す積層構造Sに対して保護膜形成工程を実行したとする。保護膜形成工程では、たとえば水素(H2)を含む処理ガスをプラズマ化して積層構造Sをプラズマに曝露する。シリコン含有膜33はH2のプラズマに曝露されることでスパッタされ、スパッタにより飛散したスパッタ生成物が有機膜32の凹部側壁上に堆積する。このため、図3の積層構造Sはシリコン含有膜33および有機膜32の凹部側壁上に堆積した保護膜40を含んでいる。保護膜形成工程では、処理ガスのプラズマによって、シリコン含有膜33のスパッタリングによる保護膜40の形成と、有機膜32の凹部のエッチングと、が同時に進む。なお、処理ガスは、H2単独でもよく、N2(窒素)ガスとH2ガスとの混合ガスでもよい。
(エッチング工程)
図4は、一実施形態に係る基板処理方法のエッチング工程を説明するための図である。エッチング工程においては、処理ガスをプラズマ化して、シリコン含有膜33をマスクとして有機膜32を深さ方向にエッチングする。エッチング工程において使用する処理ガスは、第2の処理ガスの一例である。また、エッチング工程は、第2工程の一例である。有機膜32のエッチングは、O2(酸素)ガスとCOS(硫化カルボニル)ガスの混合ガスを用いて実行する。なお、処理ガスに、Cl2(塩素)、HBr(臭化水素)等を添加しても良い。処理ガスは、主として有機膜32をエッチングするよう調製する。エッチング工程を実行することで、ハードマスクであるシリコン含有膜33が徐々に除去され、シリコン含有膜33の開口に応じた凹部が有機膜32に形成され、徐々に凹部が深くなる。
(マスク積層工程)
マスク積層工程では、たとえば異方性成膜により、シリコン含有膜33の膜厚を増加させる。マスク積層工程は、第3工程の一例である。図5Aおよび図5Bは、一実施形態に係る基板処理方法のマスク積層工程を説明するための図である。図5Aに示すように、保護膜形成工程の保護膜形成や、エッチング工程のエッチングを実行すると、シリコン含有膜33の膜厚は徐々に減少していく。有機膜32に形成するパターンのアスペクト比が高い場合、パターン底部が絶縁膜31に達する前にハードマスクであるシリコン含有膜33が消失する可能性がある。そこで、マスク積層工程は、シリコン含有膜33上から第3の処理ガスのプラズマを用いて成膜を行う。成膜条件は異方性に設定する。すなわち、シリコン含有膜33の頂部に主に成膜し、開口が閉塞しないように条件を設定する(図5B参照)。
マスク積層工程における第3の処理ガスとしては、たとえば、SiCl4またはSiF4等のハロゲン化ケイ素ガスと、アルゴン(Ar)等の希ガスと、O2と、の混合ガスを用いることができる。第3の処理ガスをプラズマ化し、積層構造Sをプラズマに曝露する。成膜の手法は特に限定されず、化学気相成長(CVD:Chemical Vapor Deposition)、物理吸着(PVD:Physical Vapor Deposition)、原子層堆積(ALD:Atomic Layer Deposition)、DCS(Direct Current Superposition)等を用いることができる。なお、マスク積層工程で形成する膜の組成は、シリコン含有膜33と同一である必要はない。マスク積層工程で形成する膜はたとえば、シリコン含有膜33と異なる組成のシリコン含有膜であってもよい。また、マスク積層工程で形成する膜は、有機膜32と高選択比が取れる材料であればよく、シリコン含有膜でなくてもよい。
(閉塞物除去工程)
図6Aおよび図6Bは、一実施形態に係る基板処理方法の閉塞物除去工程を説明するための図である。閉塞物除去工程では、フッ素(F)を含む処理ガスにより、保護膜形成工程、エッチング工程及びマスク積層工程のいずれかで生じた開口および/またはパターンの上部を閉塞する閉塞物を除去する。閉塞物除去工程で使用する処理ガスは、第4の処理ガスの一例である。また、閉塞物除去工程は、第4工程の一例である。図6Aに示すように、パターンが積層構造S上に形成される過程で、開口の側壁にエッチングにより生じた生成物(図6A(1)のD)が付着して開口が徐々に閉塞される場合がある。または、マスク積層において生成物(図6A(2)のD’)が水平方向にも成長し、開口やパターン上部が徐々に閉塞される場合がある。そこで、閉塞物除去工程では、開口やパターンを閉塞する閉塞物(生成物)をフッ素含有ガスのプラズマにより除去する。生成物Dまたは生成物D’の一部を除去することで、シリコン含有膜33の開口寸法が回復し(図6B(1)および(2))、後続する工程(たとえばエッチング工程)において処理ガスをパターン底部まで行き渡らせることができる。なお、閉塞物除去工程において使用する処理ガスは、たとえば、CHF3、CF4等のフッ素含有ガスと、N2ガスまたはアルゴン(Ar)ガス等の希ガスと、の混合ガスであってよい。
続いて、図1および図7Aを用いて、一実施形態に係る基板処理方法について説明する。図1は、一実施形態に係る基板処理方法の流れの一例を示すフローチャートである。図7Aは、図1の処理の流れの一例を説明するための図である。
まず、積層構造Sを準備する(ステップS100、図7Aの(1))。次に、積層構造Sが条件Aを満足するか否かを判定する(ステップS101)。条件Aはたとえば、有機膜32上のシリコン含有膜33の膜厚が所定値以上であることである。通常、1回目のサイクルでは積層構造Sは条件Aを満足するため(ステップS101、Yes)、マスク積層工程を実行することなく、ステップS103に進む。他方、2回目以降のサイクルにおいて、条件Aを満足しないと判定した場合(ステップS101、No)、マスク積層工程を実行する(ステップS102)。
ステップS103では、積層構造Sが条件Bを満足するか否かを判定する。条件Bはたとえば、有機膜32に形成されたパターンのアスペクト比が所定値αに達していることである。所定値αはたとえば、5である。またたとえば、条件Bは、有機膜32に形成されたパターンに保護膜40(図3参照)が形成されていることである。
条件Bを満足しないと判定した場合(ステップS103、No)、保護膜形成工程を実行する(ステップS104、図7Aの(2))。たとえば、有機膜32にパターンが形成されていない状態のときは、条件Bを満足していないと判定する。保護膜形成工程の実行により、有機膜32のエッチングが進行すると同時に、シリコン含有膜33のスパッタにより生じたシリコン含有膜33のスパッタ生成物が有機膜32に形成されるパターン(凹部)の側壁に堆積し保護膜40を形成する。ステップS104が終了すると、ステップS101に戻って処理を繰り返す。この際、保護膜形成工程の実行によりシリコン含有膜33の膜厚が減少し、条件Aを満足しないと判定した場合(ステップSS101、No)、マスク積層工程を実行する(ステップS102)。
他方、条件Bを満足すると判定した場合(ステップS103、Yes)、ステップS105に進む。たとえば、有機膜32に形成されたパターンのアスペクト比が6の場合は、条件Bを満足すると判定する。またたとえば、保護膜形成工程を数回実行することで有機膜32に形成されたパターンのアスペクト比が5に達しているときは条件Bを満足すると判定する。条件Bを満足すると判定した場合、ステップS105に進む。
なお、一実施形態では、一度条件Bを満足すると、その後の工程において保護膜を形成しなくてもよい。この場合、一度ステップS105に進んだ後は、再度のステップS103の判定はYESとなる。ただし、ステップS106を繰り返し実行することにより、保護膜40が削られ、条件Bを満足しなくなった場合(ステップS103、No)、保護膜形成工程を実行してもよい(ステップS104)。
ステップS105では、積層構造Sが条件Cを満足するか否かを判定する。条件Cはたとえば、シリコン含有膜33の開口寸法が所定寸法以上であることである。条件Cを満足しないと判定した場合(ステップS105、No)、閉塞物除去工程を実行する(ステップS106)。ステップS106が終了すると、ステップS101に戻って処理を繰り返す。他方、条件Cを満足すると判定した場合(ステップS105、Yes)、次のステップS107に進む。
ステップS107では、積層構造Sが条件Dを満足するか否かを判定する。条件Dはたとえば、有機膜32に形成されたパターンのアスペクト比がαとは異なる所定値β以上であることである。所定値βはたとえば、20~30である。条件Dを満足しないと判定した場合(ステップS107、No)、エッチング工程を実行する(ステップS108、図7Aの(3))。ステップS108が終了すると、ステップS101に戻って処理を繰り返す。この際、ステップS104およびステップS108の実行によりシリコン含有膜33の膜厚が減少し、条件Aを満足しないと判定する場合がある(ステップS101、No)。この場合、マスク積層工程を実行する(ステップS102、図7Aの(4))。また、ステップS102およびステップS108の実行によりシリコン含有膜33の開口寸法が減少し、条件Cを満足しないと判定する場合がある(ステップS106、No)。この場合、閉塞物除去工程を実行する(ステップS106、図7Aの(5))。
以上の処理を繰り返し実行することにより、最終的に、ステップS107において、条件Dを満足すると判定した場合(ステップS107、Yes)、処理を終了する。
図7Bは、図1の処理の流れの他の例を説明するための図である。図7Bの(1)においては、積層構造Sのシリコン含有膜33がかなり削られているが、条件Aの所定値未満にはなっていないとする(ステップS101、Yes)。また、マスクパターンのアスペクト比が所定値β未満であるとする(ステップS107、No)。このため、エッチング工程を実行してマスクパターンをエッチングする(ステップS108)。エッチングの結果、シリコン含有膜33が削られて膜厚が所定値未満になったとする(ステップS101、No)。このためマスク積層工程を実行してマスクを積層する(図7Bの(2))。条件A~Dを満足する状態になると、積層構造Sの処理は終了する。
このように、図1に示す基板処理方法によれば、積層構造Sの処理後の状態にあわせて次に実行する工程が選択される。このため、各工程の実行要否を判定する条件A~Dを適宜設定して保護膜形成工程、エッチング工程、マスク積層工程および閉塞物除去工程を選択的に実行することにより、積層構造Sの状態に適合した処理を実行することができる。なお、条件A~Dを満足するか否かの判断は、予め条件A~Dを満足するまでに実行する各工程の回数や各工程に適用する処理条件を設定することで実行する。たとえば、各工程の実行回数に基づき、条件A~Dを満足するか否かを判定すればよい。
なお、図1の例においては、保護膜形成工程、エッチング工程、マスク積層工程および閉塞物除去工程の実行要否を条件A~Dに応じて判定した後、各工程を実行するものとした。ただし、本実施形態に係る基板処理方法の流れは図1に示す流れに限定されない。たとえば、保護膜形成工程、エッチング工程、マスク積層工程および閉塞物除去工程のうち、組み合わせる工程、組み合わせた工程を実行する順序および回数を予め設定しておいてもよい。たとえば、保護膜形成工程、エッチング工程、マスク積層工程および閉塞物除去工程を組み合わせたサイクルを予め設定し、各サイクルを予め定めた回数実行してもよい。
図8は、一実施形態に係る基板処理方法のサイクル例1を示すフローチャートである。サイクル例1は、保護膜形成工程(ステップS61)とマスク積層工程(ステップS62)とを含む。サイクル例1は、まず、保護膜形成工程により有機膜32の側壁上に保護膜を形成する。その後、マスク積層工程により、保護膜形成工程のスパッタリングにより減少したハードマスク(シリコン含有膜33)のマスク高を回復させる。なお、図8~図10中、「Pre-C」は前のサイクルを示し、「Post-C」は後続するサイクルを示す。
図9は、一実施形態に係る基板処理方法のサイクル例2を示すフローチャートである。サイクル例2は、エッチング工程(ステップS71)と閉塞物除去工程(ステップS72)とを含む。サイクル例2は、まず、有機膜32のエッチングにより有機膜32のパターンを形成する。その後、エッチング工程により開口の側壁やパターン上部の側壁に堆積した生成物を、閉塞物除去工程により除去する。
図10は、一実施形態に係る基板処理方法のサイクル例3を示すフローチャートである。サイクル例3は、マスク積層工程(ステップS81)と、エッチング工程(ステップS82)と、閉塞物除去工程(ステップS83)と、を含む。サイクル例3は、まず、減少したハードマスク(シリコン含有膜33)のマスク高を回復させる。その後、有機膜32のパターンを深さ方向にエッチングする。そして、エッチングにより開口の側壁やパターン上部の側壁に堆積した生成物を除去する。
有機膜32に形成されるパターンの深さに応じて、サイクル例1~3を組み合わせることで、パターンの形状を制御できる。たとえば、有機膜32がまだエッチングされていない段階で、サイクル例1を実行する。すると、有機膜32のボーイングを抑制して有機膜32をエッチングしながら、シリコン含有膜33のスパッタ生成物で保護膜を形成できる。さらに、スパッタリングにより消失したシリコン含有膜33の頂部をマスク積層工程により補強できる。次に、有機膜32のエッチングが進んだ段階たとえばボーイングが発生しやすい領域に到達した段階で、サイクル例2を実行する。すると、ボーイングが発生しやすいシリコン含有膜33直下は保護膜40により保護されているため、有機膜32のボーイングを抑制しつつエッチングを進めることができる。さらに、開口の側壁やパターン上部の側壁に堆積するエッチング生成物は、閉塞物除去工程により除去できる。有機膜32のエッチングがさらに進行すると、再びハードマスクが減少するため、サイクル例3を実行する。サイクル例3により、ハードマスクを積層した上でエッチングを進め、かつ、パターン頂部の開口の閉塞を抑制できる。
このように、保護膜形成工程、エッチング工程、マスク積層工程および閉塞物除去工程を組み合わせたサイクルを、積層構造Sに形成されるパターンの状態、たとえば、パターンの深さに合わせて組み合わせることにより、パターンの形状を制御できる。
図11は、一実施形態に係る基板処理方法のサイクルの組み合わせ例を示すフローチャートである。図11の例では、上記サイクル例1を所定回数たとえば5回実行した後、サイクル例2を所定回数たとえば3回、サイクル例3を所定回数たとえば4回実行する。また、サイクル例3の処理条件を変更する。たとえば4回のサイクル例3を実行しそのうち、最初の3回と最後の1回との間で変更する。
まず、処理を開始すると、サイクル例1を実行する(ステップS901)。そしてサイクル例1を所定回数実行したか判定する(ステップS902)。所定回数実行していないと判定した場合(ステップS902、No)、ステップS901に戻ってサイクル例1を繰り返す。他方、所定回数実行したと判定した場合(ステップS902、Yes)、ステップS903に進んでサイクル例2を実行する。そして、サイクル例2を所定回数実行したか判定する(ステップS904)。所定回数実行していないと判定した場合(ステップS904、No)、ステップS903に戻ってサイクル例2を繰り返す。他方、所定回数実行したと判定した場合(ステップS904、Yes)、ステップS905に進んでサイクル例3を実行する。ここで、ステップS905のサイクル例3は処理条件1により実行する。たとえば、処理条件1では、マスク積層工程の処理時間を10秒とする。次に、処理条件1に基づくサイクル例3を所定回数実行したか判定する(ステップS906)。所定回数実行していないと判定した場合(ステップS906、No)、ステップS905に戻ってサイクル例3を繰り返す。他方、所定回数実行したと判定した場合(ステップS906、Yes)、ステップS907に進んでサイクル例3を実行する。ステップS907のサイクル例3は処理条件2により実行する。たとえば、処理条件2では、マスク積層工程の処理時間を20秒とする。そして、処理条件2によるサイクル例3を所定回数実行したか判定する(ステップS908)。所定回数実行していないと判定した場合(ステップS908、No)、ステップS907に戻ってサイクル例3を繰り返す。他方、所定回数実行したと判定した場合(ステップS908、Yes)、処理を終了する。
このように、実施形態に係る基板処理方法は、積層構造Sに形成されたパターンの処理状態たとえば、エッチングの進行状態に応じて、実行する工程および処理条件を変更する。また、複数工程をまとめたサイクルを繰り返し実行することで、処理を単純化する。
(検証結果)
図12は、一実施形態に係る基板処理方法により得られる効果について説明するための図である。図12中、(A)は、有機膜32上のシリコン含有膜33にパターンが形成された状態を示す(図2に対応)。(A)においては、絶縁膜31、有機膜32、シリコン含有膜33の位置を矢印で表示している。また、(B)は、(A)に示す積層構造に対して保護膜(図3の40)を形成せず、エッチング工程を実行した場合の被処理体(比較例)の状態を示す。また、(C)は、(A)に示す積層構造に対して上記実施形態に係る基板処理方法により有機膜のパターンを形成した場合の被処理体(実施例)の状態を示す。
被処理体は各々、絶縁膜31(被エッチング膜)と、絶縁膜31上に形成された有機膜32(マスク)と、有機膜32上に形成されたシリコン含有膜33(ハードマスク)と、を含むよう形成された。その後、図12の(A)に示すようにシリコン含有膜33に開口を形成した。(A)においては、エッチングの影響により有機膜32も若干削られている。
まず、比較例(B)においては、O2ガスとCOSガスの混合ガスを流量250/50の割合でチャンバ内に供給し、シリコン含有膜33をハードマスクとして有機膜32をエッチングした。チャンバ内は20mTorr、上部電極および下部電極の電圧は1400Wおよび500Wに設定した。また、上部電極および下部電極の周波数はそれぞれ27MHz、13MHzとした。また、チャンバの上部、側壁、下部の温度(T/W/B)はそれぞれ120℃、100℃、10℃に設定した。また、300秒を1回のエッチング工程として、エッチング工程を1回実行した。
実施例(C)においては、図11に示した組み合わせでサイクル例1~3を実行した。具体的には、サイクル例1は5回、サイクル例2は3回、サイクル例3は閉塞物除去工程の処理時間10秒を3回、マスク積層工程の処理時間20秒を1回、この順番に実行した。
保護膜形成工程、エッチング工程、マスク積層工程および閉塞物除去工程各々の処理条件は以下の通りである。
保護膜形成工程:
チャンバ内圧力:20mTorr
上部電極および下部電極の電圧0W+900W
H2ガス流量:250sccm
チャンバ内温度:T/W/B=120℃/100℃/10℃
処理時間:120秒
エッチング工程:
チャンバ内圧力:20mTorr
処理ガス流量:O2/CO2/=250sccm/50sccm
チャンバ内温度:T/W/B=120℃/100℃/10℃
処理時間:100秒
マスク積層工程:
チャンバ内圧力:20mTorr
上部電極および下部電極の電圧:800W+0W
処理ガス流量:Ar/O2/SiCl4=500sccm/100sccm/20sccm
チャンバ内温度:T/W/B=120℃/100℃/10℃
閉塞物除去工程:
チャンバ内圧力:30mTorr
上部電極および下部電極の電圧:300W+140W
処理ガス流量:CHF3/CF4/N2=300sccm/100sccm/150sccm
チャンバ内温度T/W/B=120℃/100℃/10℃
処理時間:30秒
比較例の処理により、図12の(B)に示す被処理体が得られた。図12の(B)から分かるように、比較例においては、有機膜32の上部においてボーイングが発生している(点線矩形で表示している箇所)。このため、有機膜32に形成されたパターンは全体として上から下に向けて先細る形状となり、パターン底部で開口寸法がやや狭くなっている(約78nm)。なおパターンの縦方向寸法は約2500nmである。
これに対して、実施例(図12の(C))では、まず、サイクル例1により保護膜40を形成し、(C)の左側に示すパターンを有機膜32の上部に形成した(図12の(C)左側の図を参照。)。アスペクト比が約5となった後、サイクル例2,3を実行して有機膜32のパターンを完成させた(図12の(C)右側の図を参照)。
図12の(C)から分かるように、実施例においては、有機膜32の上部においてほとんどボーイングが発生していないため、横方向の広がりは比較例と比較すると大幅に減少している(点線矩形で表示している箇所)。具体的には、比較例では約170nmであったボーイングの横方向寸法が実施例では約105nmに改善された。また、有機膜32に形成されたパターンは全体として上から下に向けてのテーパが抑制され、パターン底部での開口寸法は比較例と比較すると改善されている(約87nm)。
なお、上記実施形態の保護膜形成工程においては、処理ガスとして水素(H2)ガスを使用した。ただし、水素ガス以外の処理ガスであっても保護膜形成の進行速度がエッチングの進行後にボーイングが発生する速度よりも早ければ、保護膜形成工程において処理ガスとして使用可能である。
(保護膜を形成する領域の一例)
発明者らは、また、保護膜形成工程の実行要否判定に用いる条件について検討した。図13は、一実施形態に係る基板処理方法において、処理実行要否を判定する際に用いる条件について説明するための図である。図13を参照し、保護膜形成工程の実行要否判定の条件Bについて説明する。
図13に示す6つのパターン(A)~(F)は、それぞれ以下の状態の一例を示す。
(A)積層構造Sのシリコン含有膜33にパターンが形成された直後の状態1
(B)状態1のパターンに対して、保護膜形成工程を30秒間実行した後の状態2
(C)状態2のパターンに対して、エッチング工程を300秒間実行した後の状態3
(D)状態1のパターンに対して、保護膜形成工程を120秒間実行した後の状態4
(E)状態4のパターンに対して、エッチング工程を300秒間実行した後の状態5
(F)状態1のパターンに対して、保護膜形成工程を600秒間実行した後の状態6
なお、図13の例において、保護膜形成工程およびエッチング工程の処理条件は以下の通りである。
保護膜形成工程:
チャンバ内圧力:20mTorr
上部電極および下部電極の電圧:0W+900W
処理ガス流量:H2=250
チャンバ内温度T/W/B=120℃/100℃/10℃
エッチング工程:
チャンバ内圧力:20mTorr
上部電極および下部電極の電圧:1400W+500W
処理ガス流量:O2/CO2=250sccm/50sccm
チャンバ内温度T/W/B=120℃/100℃/10℃
図13の(A)に示す状態1において、積層構造Sのシリコン含有膜33には上部から下部に向けて先細りする開口が形成されている。図13の例に示すシリコン含有膜33の膜厚は約215ナノメートルである。かかる積層構造Sに対して、上記処理条件において保護膜形成工程を30秒間実行すると、(B)に示すように、有機膜32がエッチングされるとともに、エッチングにより形成された開口の側壁に保護膜40が形成される。
状態2の積層構造Sに対してエッチング工程を300秒間実行すると、状態2の有機膜32に形成された開口部分の直下から横方向に広がるボーイングが発生し、(C)に示す形状に有機膜32が削られる。状態3において、有機膜32に形成された開口は、保護膜40が形成された位置(図13中、A1で示す箇所)ではボーイングが発生せず、保護膜40の直下からボーイングが発生している。
保護膜形成工程の処理時間を30秒から120秒まで延長すると、有機膜32に形成される開口の深さが増し、これに伴って、保護膜40が形成される領域が深さ方向に広くなる(図13の(D))。(D)に示す状態4では、保護膜40は、有機膜32の頂部から約130ナノメートルの深さまで形成されている(図13中、A2で示す箇所を参照)。状態4の積層構造Sに対して、上記処理条件でエッチング工程を300秒間実行すると、(E)に示すように、ボーイングが発生する位置は(C)の例よりも下方に移動し、ボーイングの発生量は減少している。このように、保護膜40を形成することで、ボーイングの位置および発生量を制御できることが分かる。しかし、(E)の例では、エッチング工程の結果、マスクの役割を果たすシリコン含有膜33が削られるとともに、頂部に付着する堆積物によって開口が閉塞している。
また、(F)に示すように、状態1の積層構造Sに上記処理条件で保護膜形成工程を600秒間実行すると、有機膜32に形成される保護膜40は開口中約380ナノメートルの深さに達する。しかし、保護膜形成工程の処理時間が長くなるとともに、シリコン含有膜33が削られる量も増加する。(F)に示す例では、シリコン含有膜33のマスク部分は厚み方向に削られるとともに形状が歪み表面が荒れた状態となっている。
このように、有機膜32に形成するパターンのアスペクト比が大きい場合、保護膜形成工程およびエッチング工程を繰り返し実行するだけでは、シリコン含有膜33のマスクパターンの消失および開口閉塞の問題が生じる。他方、本発明者らは、上記実験等の結果、有機膜32に形成するパターンがたとえば、直径100ナノメートル、深さ2000~3000ナノメートル程度の大きさの場合、開口上部に保護膜を形成することでボーイングを有効に抑制できるとの知見を得た。たとえば、直径100ナノメートルの開口の場合、頂部から約500ナノメートル程度の領域に保護膜40を形成することでボーイングを大幅に低減できた。また、アスペクト比6.7程度の領域に保護膜40を形成することでボーイングを大幅に低減できた。このことから、発明者らは、アスペクト比5~7程度まで保護膜40を形成することでパターン形状を改善できると結論した。
(基板処理装置の構成例)
図14は、一実施形態にかかる基板処理装置100の概略構成を示す断面図である。基板処理装置100は、金属製(例えばアルミニウム製)の筒状(例えば円筒状)に形成された処理室(チャンバ)102を備える。
処理室102の底部には、ウエハWを載置するための載置台110が設けられている。載置台110は、アルミニウムなどで略柱状(例えば円柱状)に成形されている。なお、図示はしないが、載置台110にはウエハWを静電気力により吸着保持する静電チャック、ヒータや冷媒流路などの温度調整機構等、必要に応じて様々な機能を設けることができる。エッチング装置の場合、載置台110にはイオンをウエハWに引き込むためのバイアス高周波が印加される。
処理室102の天井部には、例えば石英ガラスやセラミックなどで構成された板状誘電体104が載置台110に対向するように設けられている。具体的には板状誘電体104は例えば円板状に形成され、処理室102の天井部に形成された開口を塞ぐように気密に取り付けられている。
処理室102には、ウエハWを処理するための処理ガスなどを供給するガス供給部120が設けられている。処理室102の側壁部にはガス導入口121が形成されており、ガス導入口121にはガス供給配管123を介してガス供給源122が接続されている。ガス供給配管123の途中には処理ガスの流量を制御する流量制御器例えばマスフローコントローラ(MFC)124、開閉バルブ126が介在している。このようなガス供給部120によれば、ガス供給源122からの処理ガスは、マスフローコントローラ124により所定の流量に制御されて、ガス導入口121から処理室102内に供給される。
図14では説明を簡単にするため、ガス供給部120を一系統のガスラインで表現しているが、ガス供給部120は単一のガス種の処理ガスを供給する場合に限られるものではなく、複数のガス種を処理ガスとして供給するものであってもよい。この場合には、複数のガス供給源を設けて複数系統のガスラインで構成し、各ガスラインにマスフローコントローラを設けてもよい。また、図14ではガス供給部120を処理室102の側壁部からガスを供給するように構成した場合を例に挙げているが、必ずしもこれに限られるものではない。例えば処理室102の天井部からガスを供給するように構成してもよい。この場合には、例えば板状誘電体104の例えば中央部にガス導入口を形成し、そこからガスを供給するようにしてもよい。
このようなガス供給部120により処理室102内に供給する処理ガスとしては、例えば酸化膜のエッチングでは、ClやFなどを含むハロゲン系ガスが用いられる。具体的にはSiO2膜などのシリコン酸化膜をエッチングする場合には、CxFy、CHF3ガスなどのフルオロカーボンガスが処理ガスとして用いられる。
処理室102の底部には、処理室102内の雰囲気を排出する排気部130が排気管132を介して接続されている。排気部130は例えば真空ポンプにより構成され、処理室102内を所定の圧力まで減圧し得るようになっている。処理室102の側壁部にはウエハ搬出入口134が形成され、ウエハ搬出入口134にはゲートバルブ136が設けられている。例えばウエハWを搬入する際には、ゲートバルブ136を開いて図示しない搬送アームなどの搬送機構によってウエハWを処理室102内の載置台110上に載置し、ゲートバルブ136を閉じてウエハWの処理を行う。
処理室102の天井部には、板状誘電体104の上側面(外側面)に平面状の高周波アンテナ140と、高周波アンテナ140を覆うシールド部材160が配設されている。本実施形態における高周波アンテナ140は、大別すると板状誘電体104の中央部に配置された内側アンテナ素子142Aと、その外周を囲むように配置された外側アンテナ素子142Bとで構成される。各アンテナ素子142A,142Bはそれぞれ、例えば銅、アルミニウム、ステンレスなどの導体で構成された渦巻きコイル状に形成される。
本実施形態におけるシールド部材160は、内側アンテナ素子142Aを囲むように各アンテナ素子142A,142Bの間に設けられた筒状の内側シールド壁162Aと、外側アンテナ素子142Bを囲むように設けられた筒状の外側シールド壁162Bとを備える。これにより、板状誘電体104の上側面は、内側シールド壁162Aの内側の中央部(中央ゾーン)と、各シールド壁162A,162Bの間の周縁部(周縁ゾーン)に分けられる。
内側アンテナ素子142A上には、内側シールド壁162Aの開口を塞ぐように円板状の内側シールド板164Aが設けられている。外側アンテナ素子142B上には、各シールド壁162A,162Bの間の開口を塞ぐようにドーナツ板状の外側シールド板164Bが設けられている。
なお、シールド部材160の形状は、円筒状に限られるものではない。シールド部材160の形状を例えば角筒状など他の形状にしてもよいが、処理室102の形状に合わせることが好ましい。ここでは、例えば処理室102を略円筒状としているので、それに合わせてシールド部材160も略円筒状に形成している。
各アンテナ素子142A,142Bにはそれぞれ、高周波電源150A,150Bが別々に接続されている。これにより、各アンテナ素子142A,142Bには同じ周波数又は異なる周波数の高周波を印加できる。例えば内側アンテナ素子142Aに高周波電源150Aから所定の周波数(例えば40MHz)の高周波を所定のパワーで供給すると、処理室102内に誘導磁界が形成される。形成された誘導磁界によって、処理室102内に導入された処理ガスが励起され、ウエハW上の中央部にドーナツ型のプラズマが生成される。
また、外側アンテナ素子142Bに高周波電源150Bから所定の周波数(例えば60MHz)の高周波を所定のパワーで供給すると、処理室102内に誘導磁界が形成される。形成された誘導磁界によって、処理室102内に導入された処理ガスが励起され、ウエハW上の周縁部に別のドーナツ型のプラズマが生成される。
これらのプラズマによって、アッシング処理、エッチング処理、成膜処理などウエハに対する所定のプラズマ処理が実行される。各高周波電源150A,150Bから出力される高周波は、上述した周波数に限られるものではない。例えば13.56MHz,27MHz,40MHz,60MHzなど様々な周波数の高周波を供給できる。但し、高周波電源150A,150Bから出力される高周波に応じて各アンテナ素子142A,142Bの電気的長さを調整する必要がある。
基板処理装置100には、制御部(全体制御装置)200が接続されており、この制御部200によって基板処理装置100の各部が制御されるようになっている。また、制御部200には、オペレータが基板処理装置100を管理するためにコマンドの入力操作等を行うキーボードや、基板処理装置100の稼働状況を可視化して表示するディスプレイ等からなる操作部210が接続されている。
さらに、制御部200には、基板処理装置100で実行される各種処理を制御部200の制御にて実現するためのプログラムやプログラムを実行するために必要なレシピデータなどが記憶された記憶部220が接続されている。
記憶部220には、例えばウエハWのプロセス処理を実行させるための複数のプロセス処理レシピの他、処理室102内のクリーニング処理などの処理を行うためのレシピなどが記憶されている。これらのレシピは、基板処理装置100の各部を制御する制御パラメータ、設定パラメータなどの複数のパラメータ値をまとめたものである。例えばプロセス処理レシピは、例えば処理ガスの流量比、処理室102内の圧力、各アンテナ素子142A,142Bに印加する高周波の周波数やパワーなどのパラメータ値を有する。
なお、これらのレシピはハードディスクや半導体メモリに記憶されていてもよく、またCD-ROM、DVD等の可搬性のコンピュータにより読み取り可能な記憶媒体に収容された状態で記憶部220の所定位置にセットするようになっていてもよい。
制御部200は、操作部210からの指示等に基づいて所望のプロセス処理レシピを記憶部220から読み出して各部を制御することで、基板処理装置100での所望の処理を実行する。また、操作部210からの操作によりレシピを編集できるようになっている。
なお、ここでは一例としてICP(Inductively Coupled Plasma)装置を示すが、基板処理装置100は、CCP(Capacitively Coupled Plasma)装置であってもよい。また、処理室102の天井部に設けられる高周波アンテナ140の他に、載置台110を構成する下部電極に高周波電力を供給し、プラズマを生成してもよい。
(実施形態の効果)
上記実施形態に係る基板処理方法は、基板を提供する工程と、第1工程と、を有する。基板を提供する工程において、第1膜と、当該第1膜上に形成され、かつ、開口が形成された第2膜と、を有する基板を提供する。第1工程において、第1の処理ガスをプラズマ化して第2膜のスパッタリングと同時に第1膜をエッチングしつつ、第1膜の側壁に前記スパッタリングにより発生した生成物で保護膜を形成する。実施形態に係る基板処理方法は、第2膜のスパッタリングによる保護膜形成と、第1膜のエッチングと、を並行して進行させることができる。このため、実施形態によれば、第1膜(有機膜)のボーイングを抑制しつつ、第1膜をエッチングできる。また、実施形態に係る基板処理方法によれば、ボーイングが発生しやすい箇所に保護膜を形成できるため、効果的にボーイングを抑制できる。第1工程はたとえば、第1膜に形成されるパターンのアスペクト比が少なくとも5になるまで実行してもよい。
また、上記実施形態に係る基板処理方法において、第2の処理ガスをプラズマ化して第2膜を介して第1膜をエッチングする第2工程をさらに含んでもよい。
また、上記実施形態に係る基板処理方法において、第3の処理ガスをプラズマ化して第2膜の頂部にシリコン含有膜を成膜する第3工程をさらに含んでもよい。このため、上記実施形態によれば、マスクとして機能する第2膜が減少した場合は、第3工程により第2膜を積層してエッチングを継続できる。このため、実施形態によれば、高アスペクト比のパターンを第1膜に形成することができる。
また、上記実施形態に係る基板処理方法において、第4の処理ガスをプラズマ化して、第1工程、第2工程および第3工程のいずれかで生じた開口および/またはパターン上部を閉塞する閉塞物を除去する、第4工程をさらに含んでもよい。このため、上記実施形態によれば、開口やパターン上部が堆積物により閉塞する可能性がある場合は、適宜第4工程を実行することで、開口閉塞を抑制しつつエッチングを実行できる。
また、上記実施形態に係る基板処理方法において、第2工程、第3工程および第4工程から選択される1以上の任意の工程を組み合わせた複数のサイクルを所定の順序および回数で実行してもよい。このため、上記実施形態によれば、エッチングの進行度合いに適合した工程を実行して、エッチングにより形成される形状を制御することができる。
また、上記実施形態に係る基板処理方法において、20以上のアスペクト比を有するパターンを第1膜に形成してもよい。
また、上記実施形態に係る基板処理方法において、水素含有ガスである第1の処理ガスをプラズマ化して、反射防止膜である第2膜をスパッタリングしてもよい。
また、上記実施形態に係る基板処理方法において、第1膜を介して、酸化シリコン膜と酸化窒化シリコン膜の交互積層部をエッチングする工程をさらに含んでもよい。
また、上記実施形態に係る基板処理装置は、上記基板処理方法を実行するプログラムを記憶する記憶部と、当該プログラムを実行するよう制御する制御部と、を備える。このため、実施形態によれば、エッチングにより基板に形成されるパターン形状を制御することができる。
今回開示された実施形態は全ての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲およびその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
31 絶縁膜
32 有機膜(被エッチング膜)
33 シリコン含有膜
40 保護膜
100 基板処理装置
102 処理室
110 載置台
S 積層構造
D 生成物
W ウエハ

Claims (21)

  1. 第1膜と、当該第1膜上に形成され、かつ、開口が形成された第2膜と、を有する基板を提供する工程と、
    第1の処理ガスをプラズマ化して前記第2膜のスパッタリングと同時に前記第1膜をエッチングしつつ、前記第1膜の側壁に前記スパッタリングにより発生した生成物で保護膜を形成する第1工程と、
    前記第1の処理ガスと異なる第2の処理ガスをプラズマ化して前記第2膜を介して前記第1膜をエッチングする第2工程と、
    を備える基板処理方法。
  2. 前記第1工程を前記第1膜に形成されるパターンのアスペクト比が少なくとも5になるまで実行する、請求項1に記載の基板処理方法。
  3. 第1膜と、当該第1膜上に形成され、かつ、開口が形成された第2膜と、を有する基板を提供する工程と、
    第1の処理ガスをプラズマ化して前記第2膜のスパッタリングと同時に前記第1膜をエッチングしつつ、前記第1膜の側壁に前記スパッタリングにより発生した生成物で保護膜を形成する第1工程と、
    を備え、
    前記第1工程を前記第1膜に形成されるパターンのアスペクト比が5~7になるまで実行する、基板処理方法。
  4. 第2の処理ガスをプラズマ化して前記第2膜を介して前記第1膜をエッチングする第2工程をさらに含む、請求項に記載の基板処理方法。
  5. 前記第2の処理ガスは、O2(酸素)ガスとCOS(硫化カルボニル)ガスの混合ガスである請求項1、2または4に記載の基板処理方法。
  6. 第3の処理ガスをプラズマ化して前記第2膜の頂部にシリコン含有膜を成膜する第3工程をさらに含む、請求項1からのいずれか1項に記載の基板処理方法。
  7. 第4の処理ガスをプラズマ化して、前記開口および/または前記第1膜に形成されるパターン上部を閉塞する閉塞物を除去する、第4工程をさらに含む、請求項1から6のいずれか1項に記載の基板処理方法。
  8. 第1膜と、当該第1膜上に形成され、かつ、開口が形成された第2膜と、を有する基板を提供する工程と、
    第1の処理ガスをプラズマ化して前記第2膜のスパッタリングと同時に前記第1膜をエッチングしつつ、前記第1膜の側壁に前記スパッタリングにより発生した生成物で保護膜を形成する第1工程と、
    第3の処理ガスをプラズマ化して前記第2膜の頂部にシリコン含有膜を成膜する第3工程と、
    第4の処理ガスをプラズマ化して、前記第3工程で生じた前記開口および/または前記第1膜に形成されるパターン上部を閉塞する閉塞物を除去する、第4工程と、
    を備える基板処理方法。
  9. 前記第3の処理ガスは、ハロゲン化ケイ素ガスを含む請求項6または8に記載の基板処理方法。
  10. 前記第4の処理ガスは、フッ素を含む請求項7または8に記載の基板処理方法。
  11. 第2の処理ガスをプラズマ化して前記第2膜を介して前記第1膜をエッチングする第2工程と、
    第3の処理ガスをプラズマ化して前記第2膜の頂部にシリコン含有膜を成膜する第3工程と、
    第4の処理ガスをプラズマ化して、前記第1工程、前記第2工程および前記第3工程のいずれかで生じた前記開口および/または前記第1膜に形成されるパターン上部を閉塞する閉塞物を除去する、第4工程と、
    から選択される1以上の任意の工程を組み合わせた複数のサイクルを所定の順序および回数で実行する、請求項2に記載の基板処理方法。
  12. 前記第2の処理ガスは、O2(酸素)ガスとCOS(硫化カルボニル)ガスの混合ガスである請求項11に記載の基板処理方法。
  13. 前記第3の処理ガスは、ハロゲン化ケイ素ガスを含む請求項11に記載の基板処理方法。
  14. 前記第4の処理ガスは、フッ素を含む請求項11に記載の基板処理方法。
  15. 20以上のアスペクト比を有するパターンを前記第1膜に形成する、請求項1から14のいずれか1項に記載の基板処理方法。
  16. 水素含有ガスである前記第1の処理ガスをプラズマ化して、反射防止膜である前記第2膜をスパッタリングする、請求項1から15のいずれか1項に記載の基板処理方法。
  17. 前記第1膜を介して、酸化シリコン膜と酸化窒化シリコン膜の交互積層部をエッチングする工程をさらに含む、請求項1から16のいずれか1項に記載の基板処理方法。
  18. 前記第1膜は、有機膜であり、
    前記第2膜は、シリコン含有膜である請求項1から17のいずれか1項に記載の基板処理方法。
  19. 前記第1の処理ガスは、水素含有ガスである請求項1から18のいずれか1項に記載の基板処理方法。
  20. 有機膜と、当該有機膜上に形成され、かつ、開口が形成されたシリコン含有膜と、を有する基板を提供する工程と、
    水素含有ガスをプラズマ化して前記シリコン含有膜のスパッタリングと同時に前記有機膜をエッチングしつつ、前記有機膜の側壁に前記スパッタリングにより発生した生成物で保護膜を形成する第1工程と、
    O2(酸素)ガスとCOS(硫化カルボニル)ガスの混合ガスをプラズマ化して前記シリコン含有膜を介して前記有機膜をエッチングする第2工程と、
    を備える基板処理方法。
  21. 請求項1から20のいずれか1項に記載の基板処理方法を実行するプログラムを記憶する記憶部と、当該プログラムを実行するよう制御する制御部と、
    を備える基板処理装置。
JP2019122068A 2019-06-28 2019-06-28 基板処理方法および基板処理装置 Active JP7339032B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2019122068A JP7339032B2 (ja) 2019-06-28 2019-06-28 基板処理方法および基板処理装置
CN202010546551.3A CN112151370A (zh) 2019-06-28 2020-06-16 基片处理方法和基片处理装置
KR1020200074092A KR20210001962A (ko) 2019-06-28 2020-06-18 기판 처리 방법 및 기판 처리 장치
US16/910,093 US11201062B2 (en) 2019-06-28 2020-06-24 Method and apparatus for processing a substrate
US17/540,257 US20220093406A1 (en) 2019-06-28 2021-12-02 Method and apparatus for processing a substrate
JP2023136150A JP2023159347A (ja) 2019-06-28 2023-08-24 基板処理方法および基板処理装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019122068A JP7339032B2 (ja) 2019-06-28 2019-06-28 基板処理方法および基板処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023136150A Division JP2023159347A (ja) 2019-06-28 2023-08-24 基板処理方法および基板処理装置

Publications (3)

Publication Number Publication Date
JP2021009899A JP2021009899A (ja) 2021-01-28
JP2021009899A5 JP2021009899A5 (ja) 2022-07-04
JP7339032B2 true JP7339032B2 (ja) 2023-09-05

Family

ID=73891456

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019122068A Active JP7339032B2 (ja) 2019-06-28 2019-06-28 基板処理方法および基板処理装置
JP2023136150A Pending JP2023159347A (ja) 2019-06-28 2023-08-24 基板処理方法および基板処理装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023136150A Pending JP2023159347A (ja) 2019-06-28 2023-08-24 基板処理方法および基板処理装置

Country Status (4)

Country Link
US (2) US11201062B2 (ja)
JP (2) JP7339032B2 (ja)
KR (1) KR20210001962A (ja)
CN (1) CN112151370A (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11538692B2 (en) * 2021-05-21 2022-12-27 Tokyo Electron Limited Cyclic plasma etching of carbon-containing materials

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009049141A (ja) 2007-08-17 2009-03-05 Tokyo Electron Ltd 半導体装置の製造方法及び記憶媒体
JP2009076661A (ja) 2007-09-20 2009-04-09 Elpida Memory Inc 半導体装置の製造方法
JP2010153702A (ja) 2008-12-26 2010-07-08 Tokyo Electron Ltd 基板処理方法
JP2012138520A (ja) 2010-12-27 2012-07-19 Ulvac Japan Ltd プラズマエッチング方法及びプラズマエッチング装置
JP2012204668A (ja) 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマエッチング方法および記憶媒体
US20170365487A1 (en) 2017-08-31 2017-12-21 L'air Liquide, Societe Anonyme Pour L'etude Et I'exploitation Des Procedes Georges Claude Chemistries for etching multi-stacked layers
US20180061659A1 (en) 2016-08-23 2018-03-01 Lam Research Corporation Silicon-based deposition for semiconductor processing
JP2018157048A (ja) 2017-03-17 2018-10-04 株式会社日立ハイテクノロジーズ エッチング方法及びプラズマ処理装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW552624B (en) * 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390964B2 (en) * 2013-03-15 2016-07-12 Applied Materials, Inc. Methods for fabricating dual damascene structures in low temperature dielectric materials
US9054050B2 (en) * 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009049141A (ja) 2007-08-17 2009-03-05 Tokyo Electron Ltd 半導体装置の製造方法及び記憶媒体
JP2009076661A (ja) 2007-09-20 2009-04-09 Elpida Memory Inc 半導体装置の製造方法
JP2010153702A (ja) 2008-12-26 2010-07-08 Tokyo Electron Ltd 基板処理方法
JP2012138520A (ja) 2010-12-27 2012-07-19 Ulvac Japan Ltd プラズマエッチング方法及びプラズマエッチング装置
JP2012204668A (ja) 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマエッチング方法および記憶媒体
US20180061659A1 (en) 2016-08-23 2018-03-01 Lam Research Corporation Silicon-based deposition for semiconductor processing
JP2018157048A (ja) 2017-03-17 2018-10-04 株式会社日立ハイテクノロジーズ エッチング方法及びプラズマ処理装置
US20170365487A1 (en) 2017-08-31 2017-12-21 L'air Liquide, Societe Anonyme Pour L'etude Et I'exploitation Des Procedes Georges Claude Chemistries for etching multi-stacked layers

Also Published As

Publication number Publication date
US20220093406A1 (en) 2022-03-24
KR20210001962A (ko) 2021-01-06
US20200411325A1 (en) 2020-12-31
JP2021009899A (ja) 2021-01-28
JP2023159347A (ja) 2023-10-31
US11201062B2 (en) 2021-12-14
CN112151370A (zh) 2020-12-29

Similar Documents

Publication Publication Date Title
JP6228694B2 (ja) 基板処理装置及び基板処理方法
JP4579611B2 (ja) ドライエッチング方法
JP6185305B2 (ja) プラズマエッチング方法およびプラズマエッチング装置
KR100976882B1 (ko) 반도체 장치의 제조 방법 및 기억 매체
TW201826386A (zh) 用於高深寬比結構之移除方法
JP6255187B2 (ja) シリコン酸化膜をエッチングする方法
US11462412B2 (en) Etching method
KR102513051B1 (ko) 에칭 방법
TWI722187B (zh) 蝕刻方法
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
TW201724252A (zh) 蝕刻方法
JP2023159347A (ja) 基板処理方法および基板処理装置
CN110783187B (zh) 等离子体处理方法和等离子体处理装置
CN112771650A (zh) 间隔物刻蚀工艺
TW201637092A (zh) 蝕刻方法(二)
JP7257883B2 (ja) プラズマ処理方法およびプラズマ処理装置
TWI841579B (zh) 電漿處理方法及電漿處理裝置
JP4448807B2 (ja) エッチング方法
JP6169521B2 (ja) プラズマエッチング方法
KR20230134153A (ko) 순환 플라즈마 에칭 공정
JP2023065412A (ja) 基板処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220622

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230516

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230517

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230712

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230725

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230824

R150 Certificate of patent or registration of utility model

Ref document number: 7339032

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150