JP4579611B2 - ドライエッチング方法 - Google Patents

ドライエッチング方法 Download PDF

Info

Publication number
JP4579611B2
JP4579611B2 JP2004225668A JP2004225668A JP4579611B2 JP 4579611 B2 JP4579611 B2 JP 4579611B2 JP 2004225668 A JP2004225668 A JP 2004225668A JP 2004225668 A JP2004225668 A JP 2004225668A JP 4579611 B2 JP4579611 B2 JP 4579611B2
Authority
JP
Japan
Prior art keywords
etching
gas
processing
hard mask
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004225668A
Other languages
English (en)
Other versions
JP2006066408A (ja
Inventor
謙一 桑原
康博 西森
益法 石原
聡 宇根
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2004225668A priority Critical patent/JP4579611B2/ja
Priority to US10/928,266 priority patent/US20060016781A1/en
Publication of JP2006066408A publication Critical patent/JP2006066408A/ja
Application granted granted Critical
Publication of JP4579611B2 publication Critical patent/JP4579611B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Description

本発明は、半導体デバイスのエッチング方法に関する。更に詳細には、ハードマスクの角部分をラウンド形状に加工するドライエッチング方法に関する。
近年、半導体素子を微細化するために、STI(Shallow Trench Isolation)が半導体の素子分離方法として用いられている。これは、ドライエッチングによりシリコン基板の素子分離領域に溝を形成した後、その溝へ低圧高密度プラズマCVD法等で絶縁膜を埋め込み、電気的に素子間を分離する方法である。
半導体デバイスの高集積化に伴う微細化によって、ますますSTIの溝が高アスペクト比化し、そのため、低圧高密度プラズマCVD法においては、埋め込み性能の限界から、埋め込みの過程で絶縁膜中に空洞ができる問題が発生している。
この問題を解決する方法としては、STIの溝の最上部に形成しているシリコン窒化膜等の無機材料からなるハードマスク上部の角部分をラウンド形状に加工することで、低圧高密度プラズマCVD法の埋め込み性が改善され、埋め込み工程での空洞の発生を抑制できることが知られている。
ハードマスクの角部分をラウンド形状に加工する従来の方法としては、パターンニングされたホトレジストを元にシリコン窒化膜をエッチングし、シリコン窒化膜のマスクを形成する。ホトレジストを除去した後に、前記シリコン窒化膜をマスクとしてSTIの溝をプラズマエッチングにより加工する。このSTIの溝形成の過程において、イオンによるスパッタリングがシリコン窒化膜の角部分に局所的に進行することを利用してラウンド形成にしていた。
プラズマ中のイオンやラジカルを利用して有機系材料を加工する方法として、酸素含有ガス、塩素含有ガス、臭素含有ガスを含むエッチング雰囲気にて有機材料膜をエッチングすることでCBrを生成し、被加工物の表面に堆積させてエッチングすることで、粗密パターン依存性の少ない有機系材料のトリミング加工を施す方法が提案されている(例えば、特許文献1参照)。
特開2001―196355号公報
上記特許文献1記載の方法は、ホトレジストの下地のシリコン窒化膜等のハードマスクの角部を露出させ、ラウンド形状に加工を施すものではない。また、従来の方法では、STIの溝加工を施す工程の前にホトレジストを除去するため、溝形成過程でのエッチング作用で膜厚が減少し、シリコン窒化膜マスクの初期膜厚が減少する問題があった。さらに、従来の方法では、角部分のラウンド形状が、STIの溝形成のエッチング条件に依存するため、形状の調整が困難であった。
本発明は、シリコン窒化膜のマスクの初期膜厚を維持しながらマスクの角部分にラウンド形状の加工を施し、かつマスク角部分のラウンド形状を独立して制御することで、加工精度を向上させることを目的とする。
この課題は、パターンニングされたホトレジストをマスクにエッチングにてシリコン窒化膜のマスクを形成し、その後ホトレジストのパターンをドライエッチングで縮小化し、ホトレジストの後退により露出したシリコン窒化膜マスクの角部分を所定量エッチングすることにより達成できる。
この加工方法では、シリコン窒化膜上のレジストマスクを残したままエッチング処理するため、エッチングによるシリコン窒化膜マスクの初期膜厚が減少することはない。CMP研磨処理のストッパー膜として使われるシリコン窒化膜マスクを規定量確保できるため、CMPプロセスでの制御が容易となる。またマスク角部分のラウンド形状を独立して調整できるため、ラウンド形状の加工精度の向上によって、埋め込み工程での空洞の発生を抑制できる。
すなわち、本発明によれば、シリコン窒化膜の初期膜厚を維持したまま、角部分にラウンド形状の加工ができ、レジストマスクの縮小ステップによりシリコン窒化膜のラウンドの形状を独立して制御できるため、ラウンド形状の加工精度を向上することができる。
以下、本発明によるプラズマエッチング方法について説明する。図1は、本発明に用いたエッチング装置を示す。本実施例は、プラズマ生成手段にマイクロ波と磁界を利用したマイクロ波プラズマエッチング装置を用いた例である。マイクロ波は、マグネトロン1で発振され、導波管2を経て石英板3を通過して真空容器へ入射される。真空容器の周りにはソレノイドコイル4が設けてあり、これより発生する磁界と、入射してくるマイクロ波により電子サイクロトロン共鳴(ECR:Electron Cyclotron Resonance)を起こす。これによりプロセスガスは、効率良く高密度にプラズマ5化される。処理ウェハ6は、静電吸着電源7で試料台8に直流電圧を印加することで、静電吸着力により電極に固定される。また、電極には高周波電源9が接続してあり、高周波電力(RFバイアス)を印加して、プラズマ中のイオンにウェハに対して垂直方向の加速電位を与える。エッチング後のガスは、装置下部に設けられた排気口から、ターボポンプ・ドライポンプ(図省略)により排気される。
図2は、図1の装置を用いた半導体装置の製造方法を示す図である。本図に示すように、(a)レジスト膜形成工程、(b)シリコン窒化膜のマスク形成工程、(c)レジストのトリミング工程、(d)シリコン窒化膜マスクのラウンド形状加工工程、(e)STIの溝加工工程、(f)レジスト除去工程によって行われる。
図2(a)に示すレジスト膜形成工程では、例えば、直径12インチのシリコン基板10の上にシリコン酸化膜11、シリコン窒化膜12、ホトレジスト13を順に形成し、フォトリソグラフィ技術等よって開口部15を含むレジストマスクを形成する。
図2(b)に示すシリコン窒化膜のマスク形成工程では、ホトレジスト13をマスクとして、開口部15のシリコン窒化膜12、シリコン酸化膜11をエッチングする。エッチング処理中は、EPD(End Point Detector)等のエッチングモニターで、シリコン基板10の界面を検出しながらエッチング処理を行う。処理条件としては、例えば、処理圧力2Pa、マイクロ波1000W、RFバイアス100Wを印加して生成した、CF(150ccm)/CHF(50ccm)の混合ガスプラズマによってエッチングする。
図2(c)に示すレジストのトリミング工程では、ホトレジスト13のパターンをドライエッチングによって縮小化して、開口部15の加工側面より後退させることによりシリコン窒化膜12のマスク角部14を露出させる。処理条件としては、例えば、処理圧力0.6Pa、マイクロ波600W、RFバイアス20Wを印加して生成した、HBr(180ccm)/O(4ccm)の混合ガスプラズマにより、所定の時間ホトレジスト13のパターンをエッチングする。この処理時間によって、ホトレジスト13の後退量を制御し、シリコン窒化膜12のマスクにラウンド形状を施す横方向の幅を制御することができる。
一般には、RFバイアス印加によるドライエッチングが、加工性、生産性の面で優位である。RFバイアス印加によって、入射イオンの指向性、エッチングに作用する入射イオンのエネルギーとフラックスが増加し、加工速度が向上するためである。しかし、過度のRFバイアス印加は、露出したシリコン窒化膜12および下層のシリコン基板10にエッチングが進行するため、低RFバイアスに抑えることが好ましい。なお、RFバイアスのエッチング特性に及ぼす効果の度合いは、例えば、電極構造、電源周波数、プラズマ密度、エッチングガス等の装置構成やプロセス条件によって変わるため、使用するプラズマエッチング装置、エッチングガスに応じて、最適値を選択することが好ましい。
また、ホトレジスト13のパターンは、RFバイアスを印加しない場合においても縮小化することができる。入射イオンのエネルギーとフラックスを低く抑えることができるため、イオンスパッタによるホトレジスト13へのダメージが低減され、ホトレジスト13の膜厚減少を抑制することができる。また、露出したシリコン窒化膜12および下層のシリコン基板10にダメージを与えずに、低速にて精細な加工ができる。
図3は、ホトレジスト13のパターン縮小化プロセスの制御性を評価するため、エッチング時間に対する縮小量を測定した結果である。本図に示すように約0.8nm/secの速度でリニアに縮小化されることから、充分な制御性を有し、エッチング時間によってシリコン窒化膜12のラウンド形状の加工幅を制御できることが判る。
本実施例では、HBrガス流量に対して2%程度のOガス添加を適用している。10%を超えるOガスの添加は、ホトレジスト13のパターン縮小化が高速化し、レジストの後退量を制御することが困難となる。また、O添加量が1%未満では、チャンバ内構成部品からのO等のアウトガスの影響を受け、ホトレジスト13のパターン縮小化の速度が不安定となる。安定した加工性を得るには、好ましくは、2〜9%程度のOガス添加が望ましい。
ホトレジスト13のパターン縮小化に用いるエッチングガスとしては、Cl/O、HBr/O、CF/O、Ar/O、HBr/Ar/O、Cl/Ar/O、CF/Ar/O等のガス系を用いることができる。ほぼ同様の加工が可能であるが、縮小化速度の制御性、側面の加工性などの性能面を重視する場合には、HBr/Oの混合ガスが好ましい。なお、プラズマによる解離で臭素を放出する臭素含有ガスであれば、HBrに限らず、Br、BrCl、IBrの使用も可能である。
また、ホトレジスト13の縮小化の主エッチングガスはOガスであるため、エッチングを抑制する調整ガスとしては、前記のガスの他に、CHF、CH、C、C、C等のフッ素含有ガスや、CH、CO、不活性ガスであるN、He、Ne、Ar、Kr、Xeなどが使用できる。前記ガスに1〜10%程度Oガスを添加することにより、同様にレジストの縮小化ができる。HBr/Oガス系と同様、10%を超えるOガスの添加は、ホトレジスト13のパターン縮小化が高速化し、レジストの後退量を制御することが困難となる。また、O添加量が1%未満では、チャンバ内構成部品からのO等のアウトガスの影響を受け、ホトレジスト13のパターン縮小化の速度が不安定となる。HBr/Oガス系に比べてガスが廉価で、定常状態ではガスが不活性なため、ガスの取扱い上の安全性が高く、半導体デバイス製造工程におけるランニングコストを抑えることができる。
すなわち、ホトレジスト13のパターン縮小化に用いるエッチングガスとしては、塩素含有ガスまたは臭素含有ガスまたはCF,CHF,CH等のフッ素含有ガスのいずれかに対して、酸素を1〜10%添加した混合ガスを用いることができる。また、ホトレジスト13のパターン縮小化に用いるエッチングガスとしては、窒素,アルゴン、ヘリウム等の不活性ガスに対して、酸素を1〜10%添加した混合ガスを用いることができる。さらに、ホトレジスト13のパターン縮小化に用いるエッチングガスとしては、塩素含有ガスまたは臭素含有ガスのハロゲン系ガスと、CF,CHF,CH等のフッ素含有ガスと、窒素,アルゴン,ヘリウム等の不活性ガスの少なくとも2種類以上の混合ガスに対して、酸素を1〜10%添加した混合ガスを用いることができる。
図2(d)に示すシリコン窒化膜12のマスクのラウンド形状加工工程では、トリミング工程で露出したシリコン窒化膜12のマスク角部14へエッチングによってラウンド形状に加工を施す。処理条件としては、例えば、処理圧力0.8Pa、マイクロ波1000W、RFバイアス150Wを印加して生成したCHF(90ccm)ガスプラズマによりエッチングを行った。この時のエッチング条件とエッチング時間によって、マスク角部14のラウンド形状の加工量を制御することができる。
一般には、印加するRFバイアスにて、入射イオンのエネルギーとフラックスを制御し、シリコン窒化膜12のマスク角部14へ局所的に進行するイオンスパッタの度合を調整し、ラウンド形状を制御する。低RFバイアスでは、ラウンドの曲率半径と加工速度が小さく、充分なラウンド形状と生産性を得ることができない。高RFバイアスでは、ラウンドの曲率半径と加工速度は大きくなるが、加工速度の高速化に伴う制御性の低下と、下層のシリコン基板10へのエッチングが進行し、その後の溝加工に影響を及ぼす。加工する溝形状の仕様に合せ、下層のシリコン基板10へ影響を与えず、生産性を得るRFバイアスの適性値を求めることが好ましい。
前記ラウンドの加工形状は、RFバイアスの他に、Oガス、Nガスの添加量によっても制御することができる。エッチングの過程では、前記CHFガスがプラズマによって解離され、炭素、水素、フッ素のラジカルやイオンを発生する。これらのイオンやラジカルは、エッチング加工を施すシリコン窒化膜12のマスクと反応して反応生成物を生成する。蒸気圧の高い反応生成物は、真空容器から排気口を介して排出されるが、蒸気圧の低い反応生成物はエッチングの加工面に付着する。この付着物は、エッチングに対する保護膜としての機能をもち、エッチングの加工速度を抑制する。極端に厚い場合には、エッチングが停止することもある。通常、加工面に対してイオン照射の少ない加工側面に厚く付着する。CHFガスをエッチングガスとして使用した場合、付着物の多くは、カーボンを含んだ化合物からなり、Oガスを添加することにより、このカーボンをCxOyの反応により蒸発させ加工面の付着膜を低減できる。また、Nガスを添加した場合、窒化物を生成させ加工面の付着膜を増加することができる。このため、OやNの添加量によって、マスク角部14の側面のエッチング速度を制御することができ、マスク角部14の加工形状を制御することができる。使用するエッチングガスや流量、使用するエッチング装置によっても、付着物の量、付着膜の除去効果が変わるため、加工する溝形状の仕様、使用するエッチング装置に合せ、OガスまたはNガス添加量の適性値を求めることが好ましい。
また、前記ラウンドの加工形状は、He、Ne、Ar、Kr、Xe等の不活性ガスの添加量によっても制御することができる。不活性ガスを添加することにより、主エッチングガスを希釈し、過度のエッチングを抑えることで、最適な加工形状に制御することができる。また、分子量の大きいガスを添加することで、イオンスパッタの効果が上げて加工形状を制御することもできる。
本実施例では、シリコン窒化膜12のマスクをラウンド形状に加工するプロセスガスとしてCHFガスを用いたが、それに限るものではなく、その他CF、CHF、CH、C、C、C等のフッ素含有ガスや、Cl、Br、BrCl、IBrなどの塩素、臭素を含んだエッチングガスでも加工することができる。前記のガス単体では、Siに対する選択比が得難く、シリコン基板10へのエッチングが進行しやすいため、CHFガスに比べると適正条件の幅が狭く、ラウンド形状加工の制御が難しい。しかし、少なくとも2種類以上の前記ガスを混合すれば、例えば、CBr(X=1,2,3)、SiBr(X=1,2,3)、SiBr(X,Y,Z:自然数)、SiCl(X,Y,Z:自然数)などの、単体ガスでは得られにくい高堆積性、あるいは高耐性の反応生成物を生成することが可能となり、これらを加工面に付着させれば、Siに対する選択比を確保しながら、ラウンド形状を制御することができる。エッチングに対する保護機能が高まる分、印加するRFバイアスを高くしてマスク角部14へ局所的に進行するイオンスパッタ効果を高める必要はあるが、ラウンド形状に加工する制御性は向上する。
すなわち、本発明では、ハードマスク角部分のラウンド形状加工は、塩素含有ガスまたは臭素含有ガスまたはCF,CHF,CH等のフッ素含有ガスのうち少なくとも1種類以上のガス、もしくはこれに酸素または窒素,アルゴン,ヘリウム等の不活性ガスを添加した混合ガスを用いることができる。
図2(e)に示すSTIの溝加工工程では、前記ホトレジスト13、シリコン窒化膜12のマスクを元にドライエッチングによってシリコン基板10にSTIの溝を形成する。処理条件としては、例えば、処理圧力0.4Pa、マイクロ波1000W、RFバイアス100Wを印加して生成した、Cl(15ccm)/HBr(145ccm)/O(10ccm)の混合ガスプラズマによりエッチングし溝部分を加工した。
図2(f)に示すレジスト除去工程では、STIの溝加工に用いたホトレジスト13と、エッチングの加工面に付着した反応生成物を除去する。STI溝加工のエッチング後にホトレジスト13を除去することにより、CMP研磨処理のストッパー膜として使われるシリコン窒化膜12のマスク初期膜厚を確保しながら、シリコン窒化膜12のマスク角部14をラウンド形状にすることが可能となった。この方法によれば、STI溝加工のエッチング条件に影響を受けることがないため、シリコン窒化膜12におけるウェハ間、ロット間の仕上りの膜厚変動を顕著に低減することができ、CMPプロセスにおける制御が容易となる。また、エッチングによるシリコン窒化膜12の減少がないため、シリコン窒化膜12のマスクとしての初期膜厚を薄くすることが可能で、半導体デバイス製造における生産性を向上することができる。さらには、形成されるSTI溝のアスペクト比が安定するため、埋め込み工程での空洞の発生を抑制することが可能で、高密度プラズマCVD装置による埋め込みを実施すれば、膜質が良好で空洞のない、電位気的特性に優れた素子分離を行うことができる。また、SiOF膜やO−TEOS膜の使用による、吸湿性や電気的特性の不安定性、エッチング時のシームの発生など、プロセス上の問題を回避することができる。なお、本実施例ではレジスト剥離装置を用いてレジストの除去を行ったが、STIの溝加工を行った同一チャンバにて、連続してレジストを除去することが可能であり、なんら特性に影響を及ぼすものではない。
上記プロセスを精密に安定して行うには、マルチチャンバの処理装置であることが好適である。装置の中央に配置された真空搬送ロボットにより、周囲に配置された各工程の専用処理チャンバ間を、順次搬送しながら処理を実施すれば、チャンバ壁より放出される前工程の異なる処理ガスの影響を抑制できるため、安定した加工をすることができる。しかし、この方法では各チャンバでの処理待ち時間や、チャンバ間のウェハ搬送時間が発生するため、生産性を重視する場合には、1つのチャンバにて各工程を順次処理することも可能であり、チャンバ搭載数に比例した生産性を得ることができる。
なお、本発明は、各工程および数工程を、専用の処理装置に分割して実施することも可能であり、この場合、加工精度が不安定となるが、既存設備を活用できるため、設備投資を低減することができる。
本実施例は、半導体デバイスの試験サンプルについて最適化を行ったプロセス条件であり、シリコン窒化膜12、シリコン酸化膜11、ホトレジスト13、シリコン基板10のエッチング方法については、本実施条件に限られたものではない。
本発明は、素子分離工程(STI)について記載したが、それに限るものではなく、半導体デバイス製造工程において穴や溝を加工し、その部分に物質を埋め込むプロセスや成膜するプロセスにおいては、本発明の方法が適応可能であり、例えば、Deep Trench加工工程や、Dual Damascene加工工程などにも応用することができる。
また、ラウンド形状の加工は、シリコン窒化膜に限るものではなく、同様の方法にてシリコン酸化膜、SiOC膜、SiC膜、ポリシリコン膜、Ti、W、Alなどの金属膜、TiN、WNなどの金属窒化膜、WSi、MoSiなどのシリサイドにおいても適用することができる。
加工する材料によって、ラウンド形状の加工状態が変わるため、材質に応じて使用するガスや処理条件の適性値を求めることが好ましい。
尚、本発明は、マイクロ波と磁場を用いたプラズマエッチング装置を使用したが、プラズマの生成方法の如何に関わらず適用可能であり、例えば、ヘリコン波エッチング装置、誘導結合型エッチング装置、容量結合型エッチング装置等によって実施しても同等の効果を得ることが出来る。
本発明の実施例に用いたマイクロ波プラズマエッチング装置の概略断面図。 本発明にかかるドライエッチング方法を説明するための半導体基板の要所断面図((a)レジスト膜形成工程、(b)シリコン窒化膜の形成工程、(c)レジストのトリミング工程)。 本発明にかかるドライエッチング方法を説明するための半導体基板の要所断面図((d)シリコン膜マスクのラウンド膜形成工程、(e)STIの溝加工工程、(f)レジスト除去工程)。 本発明の縮小化ステップ時間とシリコン窒化膜角部分の丸め幅の関係を示す図。
符号の説明
1…マグネトロン、2…導波管、3…石英板、4…ソレノイドコイル、5…プラズマ、6…ウェハ、7…静電吸着電源、8…試料台、9…高周波電源、10…シリコン基板、11…シリコン酸化膜、12…シリコン窒化膜、13…ホトレジスト、14…マスク角部、15…開口部

Claims (3)

  1. 半導体基板に溝及び穴の形成を行うドライエッチング方法であって、
    ホトレジストのパターンをもとにエッチングにてハードマスクを加工した後、
    塩素含有ガスまたは臭素含有ガスまたはCF,CHF,CHのうち何れか1種類のフッ素含有ガスに対して、酸素を1〜10%添加した混合ガスを用いたエッチングによりホトレジストのパターンを縮小化してハードマスクの角部を露出させ、露出したハードマスクの角部分を、CHF 酸素を添加した混合ガス、またはCF,CHF,CH のうち何れか1種類のフッ素含有ガスを用いて行うエッチングによって独立してラウンド形状に加工することを特徴とするドライエッチング方法。
  2. 半導体基板に溝及び穴の形成を行うドライエッチング方法であって、
    ホトレジストのパターンをもとにエッチングにてハードマスクを加工した後、
    窒素,アルゴン,ヘリウムのうち何れか1種類の不活性ガスに対して、酸素を1〜10%添加した混合ガスを用いたエッチングによりホトレジストのパターンを縮小化してハードマスクの角部を露出させ、露出したハードマスクの角部分を、CHF 酸素を添加した混合ガス、またはCF,CHF,CH のうち何れか1種類のフッ素含有ガスを用いて行うエッチングによって独立してラウンド形状に加工することを特徴とするドライエッチング方法。
  3. 半導体基板に溝及び穴の形成を行うドライエッチング方法であって、
    ホトレジストのパターンをもとにエッチングにてハードマスクを加工した後、
    塩素含有ガスまたは臭素含有ガスのハロゲン系ガスと、CF,CHF,CH何れか1種類のフッ素含有ガスと、窒素,アルゴン,ヘリウムの何れか1種類の不活性ガスから少なくとも2種類以上の混合ガスに対して、酸素を1〜10%添加した混合ガスを用いたエッチングによりホトレジストのパターンを縮小化してハードマスクの角部を露出させ、露出したハードマスクの角部分を、CHF 酸素を添加した混合ガス、またはCF,CHF,CH のうち何れか1種類のフッ素含有ガスを用いて行うエッチングによって独立してラウンド形状に加工することを特徴とするドライエッチング方法。
JP2004225668A 2004-07-26 2004-08-02 ドライエッチング方法 Expired - Fee Related JP4579611B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004225668A JP4579611B2 (ja) 2004-07-26 2004-08-02 ドライエッチング方法
US10/928,266 US20060016781A1 (en) 2004-07-26 2004-08-30 Dry etching method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004217390 2004-07-26
JP2004225668A JP4579611B2 (ja) 2004-07-26 2004-08-02 ドライエッチング方法

Publications (2)

Publication Number Publication Date
JP2006066408A JP2006066408A (ja) 2006-03-09
JP4579611B2 true JP4579611B2 (ja) 2010-11-10

Family

ID=35656014

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004225668A Expired - Fee Related JP4579611B2 (ja) 2004-07-26 2004-08-02 ドライエッチング方法

Country Status (2)

Country Link
US (1) US20060016781A1 (ja)
JP (1) JP4579611B2 (ja)

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8198195B2 (en) * 2005-09-26 2012-06-12 Tadahiro Ohmi Plasma processing method and plasma processing apparatus
JP5171091B2 (ja) * 2007-03-30 2013-03-27 株式会社日立ハイテクノロジーズ プラズマ処理方法
US20090181329A1 (en) * 2008-01-08 2009-07-16 Seiko Epson Corporation Method for manufacturing a liquid jet head, a liquid jet head, and a liquid jet apparatus
JP5063535B2 (ja) * 2008-08-29 2012-10-31 株式会社日立ハイテクノロジーズ プラズマ処理方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5814663B2 (ja) * 2011-07-13 2015-11-17 株式会社日立ハイテクノロジーズ プラズマ処理方法及びゲートラスト方式のメタルゲートの製造方法
US9257279B2 (en) * 2012-03-29 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Mask treatment for double patterning design
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2014052296A1 (en) * 2012-09-25 2014-04-03 Rubicon Technology, Inc. Method for creating atomically sharp edges on objects made of crystal material
JP2014107520A (ja) * 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6113608B2 (ja) * 2013-08-30 2017-04-12 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) * 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP2019121750A (ja) * 2018-01-11 2019-07-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08288256A (ja) * 1995-04-13 1996-11-01 Sony Corp トレンチエッチング方法
JPH10294360A (ja) * 1997-04-18 1998-11-04 Nippon Steel Corp 半導体装置の製造方法
JP2000323563A (ja) * 1999-05-14 2000-11-24 Nec Corp 半導体装置の製造方法
JP2002043414A (ja) * 2000-07-24 2002-02-08 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2002368078A (ja) * 2001-06-07 2002-12-20 Hitachi Ltd 半導体装置の製造方法
JP2003007688A (ja) * 2001-06-27 2003-01-10 Seiko Epson Corp 半導体装置及びその製造方法
JP2003507879A (ja) * 1998-09-03 2003-02-25 マイクロン テクノロジー, インク. 絶縁領域形成方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976987A (en) * 1997-10-03 1999-11-02 Vlsi Technology, Inc. In-situ corner rounding during oxide etch for improved plug fill
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08288256A (ja) * 1995-04-13 1996-11-01 Sony Corp トレンチエッチング方法
JPH10294360A (ja) * 1997-04-18 1998-11-04 Nippon Steel Corp 半導体装置の製造方法
JP2003507879A (ja) * 1998-09-03 2003-02-25 マイクロン テクノロジー, インク. 絶縁領域形成方法
JP2000323563A (ja) * 1999-05-14 2000-11-24 Nec Corp 半導体装置の製造方法
JP2002043414A (ja) * 2000-07-24 2002-02-08 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2002368078A (ja) * 2001-06-07 2002-12-20 Hitachi Ltd 半導体装置の製造方法
JP2003007688A (ja) * 2001-06-27 2003-01-10 Seiko Epson Corp 半導体装置及びその製造方法

Also Published As

Publication number Publication date
JP2006066408A (ja) 2006-03-09
US20060016781A1 (en) 2006-01-26

Similar Documents

Publication Publication Date Title
JP4579611B2 (ja) ドライエッチング方法
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
CN101064244B (zh) 形成用于高孔径比应用的各向异性特征图形的蚀刻方法
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US6277763B1 (en) Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6787054B2 (en) Two-stage etching process
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
EP0814500B1 (en) Method for etching polycide structures
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
JP2004512668A (ja) フルオロカーボンのエッチングガスを用いた磁気的に増強されたプラズマエッチング方法
US6492068B1 (en) Etching method for production of semiconductor devices
JP2004519838A (ja) 窒化チタンをエッチングする方法
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
JP2003518738A (ja) シリコンの金属マスクエッチング方法
US6911346B2 (en) Method of etching a magnetic material
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JPH09246239A (ja) 金属膜のエッチング方法
US7183220B1 (en) Plasma etching methods
CN111819669A (zh) 形成气隙的系统及方法
US6756314B2 (en) Method for etching a hard mask layer and a metal layer
JP7445150B2 (ja) ドライエッチング方法及び半導体デバイスの製造方法
JP5297615B2 (ja) ドライエッチング方法
JP2023159347A (ja) 基板処理方法および基板処理装置
JPH10178014A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070322

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100125

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100416

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100524

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100608

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100802

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100824

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100826

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130903

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees