KR101476435B1 - 다중-레이어 레지스트 플라즈마 에치 방법 - Google Patents

다중-레이어 레지스트 플라즈마 에치 방법 Download PDF

Info

Publication number
KR101476435B1
KR101476435B1 KR1020070119838A KR20070119838A KR101476435B1 KR 101476435 B1 KR101476435 B1 KR 101476435B1 KR 1020070119838 A KR1020070119838 A KR 1020070119838A KR 20070119838 A KR20070119838 A KR 20070119838A KR 101476435 B1 KR101476435 B1 KR 101476435B1
Authority
KR
South Korea
Prior art keywords
gas
chamber
etch
layer
introducing
Prior art date
Application number
KR1020070119838A
Other languages
English (en)
Other versions
KR20080046608A (ko
Inventor
요코 야마구치
크리스 리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080046608A publication Critical patent/KR20080046608A/ko
Application granted granted Critical
Publication of KR101476435B1 publication Critical patent/KR101476435B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

플라즈마 에치 챔버에서 기판 위에 정의된 다중-레이어 레지스트를 에칭하는 방법이 제공된다. 본 방법은 다중-레이어 레지스트의 제 1 레이어 상에 정의된 패턴을 갖는 기판을 에치 챔버 내로 도입하면서 시작한다. SO2 가스가 에치 챔버 내로 유입되고, 이 SO2 가스를 유입시키면서 에치 챔버에서 플라즈마가 스트라이킹된다. 그 다음, 다중-레이어 레지스트가 에칭된다.
다중-레이어 레지스트, 플라즈마 에치

Description

다중-레이어 레지스트 플라즈마 에치 방법{METHOD FOR MULTI-LAYER RESIST PLASMA ETCH}
본 발명은 반도체 디바이스 제조에서 다중-레이어 레지스트를 플라즈마 에칭하는 개선된 방법에 관한 것이다.
오늘 날 집적 회로 칩의 성능은 그 집적 회로의 트랜지스터들과 결선들의 치수에 관련된다. 트랜지스터들 및 결선들의 치수가 지속적으로 축소됨에 따라, 포토리소그래피를 이용하여 더 작은 피쳐들을 패터닝하는 능력이 집적 회로 산업의 성공을 이끄는 주요한 요소가 되었다.
포토리소그래피 프로세스들은 리소그래피 이미징 툴들 및 포토레지스트 재료들의 이용을 포함한다. 리소그래피 이미징으로 달성가능한 최소 해상도는 노광 파장 및 분해능 또는 리소그래피 이미징 툴에 의해 이용되는 렌즈 시스템의 개구수 (numerical aperture) 에 대한 최소 해상도에 의해 지배된다. 더 큰 개구수에 대한 더 짧은 노광 파장은 종종 레지스트 필름에 더 작은 패턴들을 프린팅하기 위한 높은 해상도를 가져오기도 한다. 그러나, 파장의 감소 또는 개구수의 증가는 종종 초점 심도 (depth of focus; DOF) 의 감소를 가져와 포토레지스트 필름의 두께 감축을 요하게 된다.
해상도를 향상시키기 위해 단순히 레지스트 필름의 두께를 감소시키는 것은 일정 정도까지만 효율적이다. 레지스트 패턴을 레지스트 필름 하의 하나 이상의 레이어들로 전사하는 후속 에칭 프로세스들을 견딜 수 없을 정도로 레지스트가 얇게 되면 한계에 도달한다. 이러한 문제점들을 극복하기 위해, 이중-레이어 레지스트가 개발되어 포토리소그래피 기술을 확장시켰다. 이중레이어 레지스트 필름은, 웨이퍼 또는 기판 위에 배치된 비교적 두꺼운 하부 레지스트 레이어 (마스킹 또는 편광 레이어라고 하기도 한다), 및 하부 레지스트 레이어의 상부 상에 배치된 비교적 얇은 상부 레지스트 레이어, 또는 이미징 레이어를 포함한다. 상부 레지스트 레이어는 노광에 의해 패터닝되고 후속하여 현상된다. 그 결과로서의 상부 레이어 패턴은 하부 레지스트 레이어의 에칭을 위한 마스크로서 이용된다. 이러한 방식으로, 높은 애스펙트비 (aspect ratio) 를 갖는 레지스트 패턴이 이중레이어 레지스트 필름에 형성될 수 있다.
이중레이어 레지스트가 하부 레지스트 레이어 에칭 프로세스를 겪을 때 상부 레지스트 레이어에 대한 충분한 에치 레지스턴스를 제공하기 위해, 상부 레지스트 레이어에 통상적으로 실리콘이 혼합된다. 하부 레지스트 레이어는 통상적으로 유기 폴리머들로 이루어지기 때문에, 보통 산소계 플라즈마가, 패터닝된 상부 레지스트 레이어를 마스크로 이용하여 하부 레지스트 레이어를 에치하는데 이용된다. 따라서, 하부 레지스트 레이어가 산소계 플라즈마에서 에칭되는 동안, 상부 레지스트 레이어의 실리콘 프리커서 (precursor) 가 산화되어 에칭 프로세스 동안 내화 성 산화물을 형성한다. 이 내화성 산화물이 에치 배리어로서 작용하여 상부 레지스트 레이어의 에칭 레지스턴스를 강화시키게 된다. 하지만 이러한 방식으로 달성된 강화는 많은 적용예에서 불충분한 것으로 드러났다.
하부 레지스트 에칭 프로세스는 상부 레지스트 레이어와 하부 레지스트 레이어 사이의 양호한 에치 콘트래스트를 요할 뿐만 아니라, 양호한 CD (critical dimension) 제어를 달성하기 위해 이방성을 요한다. 하지만 순수 산소 플라즈마로는, 레지스트 레이어들의 온도가 에칭 프로세스 동안 약 -100℃ 이하로 유지될 때에만 이방성 에칭이 달성될 수 있다. 그렇지 않으면, CD 손실을 나타내는 측방 에칭 또는 언더컷팅이 통상적으로 관찰된다. 다중-레이어 레지스트는 2 레이어보다 많은 레이어를 포함하는 것에 이용가능하고, 전술한 문제점들의 일부가 만연한다.
전술한 점들을 고려할 때, 다중-레이어 레지스트를 에칭할 때 다른 이방성 에칭 조건들을 제공하는 방법 및 장치가 필요하다.
넓게 말하면, 본 발명은 다중-레이어 레지스트를 에칭하기 위한 이방성 에칭 조건들을 제공하는 방식을 도입함으로써 이러한 요구를 충족시킨다. 본 발명은 프로세스, 또는 방법 등을 포함하여 수많은 방식들로 구현될 수 있다. 본 발명의 몇몇 창의적인 실시형태들을 이하 설명한다.
플라즈마 에치 챔버의 기판 위에 정의된 다중-레이어 레지스트를 에칭하는 방법이 제공된다. 본 방법은 다중-레이어 레지스트의 제 1 레이어 상에 정의된 패턴을 갖는 기판을 에치 챔버 내로 도입함과 함께 개시된다. SO2 가스가 에치 챔버 내로 유입되고, SO2 가스가 흐르는 동안 에치 챔버 내에서 플라즈마가 스트라이킹된다. 그 다음, 다중-레이어 레지스트가 에칭된다.
에치 챔버의 다중-레이어 레지스트 에치 동안 CD 바이어스 (critical dimension bias) 를 제어하는 방법이 제공된다. 본 방법은, SO2 가스가 챔버 내로 흐르는 동안 챔버의 산소계 플라즈마를 스트라이킹함과 함께 개시된다. 플라즈마 밀도는 약 1×109/cm3 과 1×1012/cm3 사이에 유지된다. 그 다음, 다중-레이어 레지스트의 각각의 레이어가 에칭된다.
전술한 일반적인 설명과 다음의 상세한 설명은 청구된 본 발명을 한정하는 것이 아니고 예시하고 설명하는 것으로 받아들여야 한다.
본 발명을 통해, 다중-레이어 레지스트를 에칭할 때 종래 기술과는 다른 이방성 에칭 조건들을 제공하는 방법 및 장치를 제공할 수 있다.
본 명세서에 포함되어 그 일부를 이루는 첨부 도면은 본 발명의 실시예를 도시하고, 상세한 설명과 함께 본 발명의 원리들을 설명하는 기능을 한다.
이하, 본 발명의 몇몇 실시예를 첨부 도면을 참조하여 상세하게 설명한다. 이하의 설명에서, 수많은 구체적인 상세한 내용들이 본 발명의 완전한 이해를 제공하기 위해 전개된다. 하지만, 당업자는 이들 구체적인 상세한 내용의 일부 또는 전부가 없이도 본 발명을 실시할 수도 있다는 것을 알 수 있을 것이다. 또한, 본 발명을 불필요하게 모호하게 하지 않기 위해 주지의 프로세스 동작들은 자세히 설명하지 않았다. 도 1 내지 도 11 은 STI (shallow trench isolation) 에치 애플리케이션 및 게이트 에칭 애플리케이션에 실리콘의 이용을 논의한다. 도 12 내지 도 15 는 이중레이어 포토레지스트 에칭을 위한 SiCl4 의 이용을 지향하는 실시형태들을 논의하는 한편, 도 16a 내지 도 18 은 다중-레이어 레지스트들, 즉, 2 이상의 레지스트 레이어들을 에칭하기 위한 SiCl4 및 SO2 의 이용을 지향하는 실시형태들을 설명한다.
많은 에치 애플리케이션들은 원하는 피쳐 프로파일을 획득하기 위해 패시베이션의 세대에 의존한다. 프로파일 제어를 위한 주요한 메커니즘은 에치 및 증착 반응들의 밸런스를 포함한다. 에치 반응들은 입력 전력, 압력, 및 가스 유동들과 같은 반응 챔버 파라미터들에 의해 일반적으로 직접 제어된다. 실리콘 웨이퍼의 플라즈마 에칭에서, 에치 반응 산물들은 증착 메커니즘들이 간접적으로 제어되는 결과 주요한 증착 소스이다.
쉘로우 트렌치 (shallow trench) 및 게이트 애플리케이션들을 위해, 다양한 에치 가스 화학이 이용된다. 예를 들어, HBr-O2 에치 가스 화학을 이용할 때, 페시베이션 레이어는 주로 SixBryOz 로 이루어진다. Cl2-O2 에치 가스 화학에 대해, 패시베이션 레이어는 주로 SixClyOz 로 이루어진다. 패시베이션 레이어의 다른 구성요소들로는 N, C, H 및 F 를 포함할 수 있다. 또한, 실리콘 웨이퍼 및/또는 석영 성분과 같은 챔버 재료들의 에칭 결과, 휘발성 실리콘 에치 부산물들이 패시베이션 레이어 내에 포함된다.
전술한 바와 같이, 실리콘 웨이퍼 및/또는 챔버 재료들과 같은 실리콘 소스들의 에칭으로 인해 실리콘이 패시베이션 레이어들에 포함될 수 있다. 이러한 실리콘 소스들은 에치 가스 화학에 의해 직접 제어되지 않는 2 차 산물들이다. 또한, 휘발성 실리콘 에치 부산물들이 웨이퍼 표면으로부터 진공 배출 포트를 향하여 운반되면서, 실리콘 함유 부산물들의 웨이퍼 표면 상에의 증착의 한정적인 가능성이 존재한다. 이는 웨이퍼에 걸쳐 불균일 실리콘 부산물 농도를 초래하고, 에칭된 피쳐 프로파일 및 CD 의 불균일성을 초래한다.
플라즈마는 다양한 타입의 플라즈마 반응기들에서 생성될 수도 있다. 이러한 플라즈마 반응기들은 통상적으로 RF (radio frequency) 에너지, 마이크로웨이브 에너지, 자계 등을 이용하는 에너지 소스들을 갖고 중간 내지 높은 밀도의 플라즈마를 생성한다. 예로, 고밀도 플라즈마는, 유도 결합 플라즈마 반응기, ECR (electron-cyclotron resonance) 플라즈마 반응기, 헬리콘 플라즈마 반응기 등으로도 불리는 Lam Research Corporation 으로부터 이용가능한 TCPTM (transformer coupled plasma) 에서 생성될 수 있을 것이다. 고밀도 플라즈마를 제공할 수 있는 고유동 플라즈마 반응기의 예는 공동 소유의 미국 특허 제 5,820,261 호에 개시되어 있다. 플라즈마는 또한, 공동 소유의 미국 특허 제 6,090,304 호에 설명된 듀얼 주파수 플라즈마 에치 반응기와 같은 병렬 플레이트 에치 반응기에서 생성될 수 있다.
프로세스는, 반응기의 벽의 유출구에 접속된 진공 펌프에 의해 원하는 진공 압력으로 유지되는 유도 결합 플라즈마 반응기에서 수행될 수도 있다. 에칭 가스는 가스를 가스 공급기로부터, 유전 윈도우의 하부측 주위에 확장되는 플레넘 (plenum) 으로 공급함으로써 샤워헤드 또는 인젝터 설비에 공급될 수 있다. 고밀도 플라즈마는, RF 에너지를 RF 소스로부터, 반응기의 상부 상의 유전 윈도우의 외측에 하나 이상의 턴을 갖는 평면 나선형 코일 (planar spiral coil) 과 같은 외부 RF 안테나에 공급함으로써 반응기에서 생성될 수 있다. 플라즈마 생성 소스 는, 반응기의 상부 상에 진공 고정 방식으로 탈착식으로 탑재된 모듈방식 탑재 설비의 부분일 수 있다.
웨이퍼는, 반응기의 측벽으로부터 모듈방식 탑재 설비에 의해 탈착식으로 지지되는 칸틸레버 척 설비와 같은 기판 지지대 상에 반응기 내에서 지지될 수도 있다. 이러한 기판 지지대는, 전체 기판 지지대/지지 암 어셈블리가 반응기의 측벽의 개구로 통과됨으로써 반응기로부터 제거될 수 있도록 칸틸레버 방식으로 탑재된 지지 암의 일 끝단에 있다. 기판 지지대는 정전 척 (ESC) 과 같은 척킹 장치를 포함할 수도 있고, 기판은 유전 포커스 링에 의해 둘러싸일 수 있다. 척은 에칭 프로세스 동안 RF 바이어스를 기판에 인가하기 위한 RF 바이어싱 전극을 포함할 수도 있다. 가스 공급기에 의해 공급된 에칭 가스는 윈도우와 그 밑의 GDP (gas distribution plate) 사이의 채널을 통해 흐르고, GDP 의 가스 유출구를 통해 챔버 내부로 들어갈 수도 있다. 반응기는 GDP 로부터 확장되는 가열 라이너를 또한 포함할 수도 있다.
프로세스는 또한, 반응기의 벽의 유출구에 연결된 진공 펌프에 의해 원하는 진공 압력으로 유지되는 내부를 포함하는 평행판 플라즈마 반응기에서 수행될 수도 있다. 에칭 가스는 가스 공급기로부터의 가스를 공급함으로써 샤워헤드 전극에 공급될 수 있고, 중간 밀도 플라즈마는 하나 이상의 RF 소스로부터의 RF 에너지를 샤워헤드 전극 및/또는 저부 전극에 인가함으로써 반응기에서 생성될 수도 있다. 다르게는, 샤워헤드 전극은 전기적으로 접지될 수도 있고, 두 상이한 주파수에서의 RF 에너지가 저부 전극에 인가될 수 있다.
다양한 가스들의 유량은 플라즈마 반응기의 타입, 전력 설정, 반응기의 진공 압력, 플라즈마 소스에 대한 해리 등과 같은 요소들에 의존할 것이라는 것은 당업자에게 있어 자명하다.
반응기 압력은 반응기의 플라즈마를 유지하기에 적합한 레벨에서 바람직하게 유지된다. 일반적으로, 너무 낮은 반응기 압력은 플라즈마 절멸을 야기할 수도 있는 반면, 고밀도 에치 반응기에서 너무 높은 반응기 압력은 에치 중지 문제를 야기할 수 있다. 고밀도 플라즈마 반응기에 대해, 반응기는 100mTorr 미만의 압력이 바람직하다.
에칭을 겪는 반도체 기판을 지지하는 기판 지지대는 기판 상의 임의의 포토레지스트의 버닝 및 바람직스럽지 못한 반응성 가스 라디칼들의 형성과 같은 열화 부수 반응들을 방지할 수 있을 정도로 충분하게 기판을 냉각시키는 것이 바람직하다. 높은 및 중간 정도의 높은 밀도의 플라즈마 반응기들에서, 기판 지지대를 -10℃ 내지 +80℃ 로 냉각시키면 충분하다. 기판 지지대는, 기판의 프로세싱 동안 RF 바이어스를 그 기판에 인가하기 위한 저부 전극 및 기판을 클램핑하기 위한 ESC 를 포함할 수도 있다. 예를 들어, 기판은, 웨이퍼와 ESC 의 상부면 사이에 원하는 압력에서 헬륨 (He) 을 인가함으로써 정전기적으로 클램핑되고 냉각되는 실리콘 웨이퍼를 포함할 수도 있다. 웨이퍼를 원하는 온도에 유지하기 위해, He 는 웨이퍼와 척 사이의 공간에서 10 내지 30 Torr 의 압력에서 유지될 수도 있다.
도 1 내지 도 3 은 어떻게 쉘로우 트렌치가 실리콘 레이어 내로 에칭될 수도 있는지에 대한 개략적 표현을 나타낸다. 도 1 에서 나타낸 바와 같이, 실리콘 기판 (10) 은, 100Å 패드 산화물 (12), 1500Å 두께의 질화 실리콘 레이어 (14), 600Å 두께의 BARC (bottom antireflective coating) (16), 및 오프닝 (20) 을 제공하기 위해 미리 패터닝된 3200Å 두께의 포토레지스트 레이어 (18)를 포함하는 레이어들의 스택을 그 위에 포함한다. 실리콘 웨이퍼 상의 쉘로우 트렌치들 에칭에서, 포토레지스트 (18) 는 원하는 트렌치 위치에 대응하는 많은 오프닝 (20) 을 포함할 것이다. 질화 실리콘 레이어 (14) 는 오프닝 (20) 의 위치에서 오픈되어 패터닝된 하드 마스크를 형성한다.
하드 마스크 (14) 의 오프닝에서, BARC 레이어 (16) 는 플라즈마 에치를 이용하여 오픈된다. 예시적인 BARC 오픈 단계에서, 챔버는 5mTorr 의 진공 압력에 있을 수 있고, 무선 주파수 에너지를 챔버 내로 유도 커플링하는데 이용되는 안테나는 350와트에 설정될 수 있다. 기판 지지대는 전극에 88와트의 전력을 인가함으로써 RF 바이어스를 제공하는 전극을 포함할 수도 있다. BARC 는 약 60℃ 에 웨이퍼의 온도를 유지하면서 60초 동안 50sccm 의 CF4 로 플라즈마 에칭하여 오픈될 수도 있다. 다음으로, 질화 실리콘 레이어 (14) 및 패드 산화물 (12) 은 챔버를 동일 압력에 설정하고, 하지만, 안테나 전력을 1000와트로 상승시켜 오프닝되어 오프닝 (22) 이 형성된다. 질화 실리콘 레이어는, 웨이퍼 온도를 약 60℃ 에 유지하면서 44초 동안 70sccm 의 CHF3 및 300sccm 의 Ar 로 에칭될 수 있다. 그 후, 포토레지스트 및 BARC 는 10mTorr 의 챔버 압력과 1000와트의 안테 나를 이용하여 스트립핑된다. 포토레지스트는 45초 동안 200sccm 의 O2 를 이용하여 스트립핑될 수도 있다.
스트립 단계의 결과로서, BARC 및 포토레지스트 레이어가 제거되고, 노출된 실리콘 영역들은 O2 플라즈마로부터 산화된다. 예시적인 프로세스에서, 챔버는 5mTorr 에 설정되고, 350와트의 전력이 안테나에 공급된다. 산화 실리콘은, 웨이퍼 온도를 약 60℃ 에 유지하면서 7초 동안 50sccm 의 CF4 를 이용하여 에칭된다. 그 다음, 실리콘 기판은 챔버 압력을 50mTorr 에 설정하고 1000와트의 전력을 안테나에 공급하여 에칭될 수도 있다. 웨이퍼 온도를 약 60℃ 에 유지하면서, 저부 전극에는 약 220와트의 전력이 공급될 수도 있고, 에칭 가스는 125sccm 의 Cl2, 14sccm 의 O2 및 14sccm 의 N2 를 포함할 수 있다. 원하는 라운딩 및/또는 프로파일 및/또는 CD 제어를 제공하기 위해, SiCl4 와 같은 실리콘 함유 가스가 또한 에치 가스에 부가될 수 있다. 도 3 에 나타낸 트렌치 구조 (24) 를 형성한 후에, 2분 동안 HF 침지를 이용하여 클리닝된 다음에 탈이온수 (deionized water) 스핀 린스가 행해질 수 있다.
도 4 는 에치 가스에 SiCl4 를 부가하지 않고 125sccm 의 Cl2 를 이용하여 형성되는 트렌치 구조의 마이크로사진이다. 도 4 에 나타낸 바와 같이, 트렌치는 활모양의 프로파일 및 서브트렌칭된 저부를 갖는다. 향상된 CD 및 프로파일 제어는 실리콘-함유 가스를 에치 가스에 부가함으로써 획득될 수 있다. 도 5 는 쉘로우 트렌치 에치 동안 실리콘-함유 가스가 이용될 때 형성되는 트렌치 구조의 마이크로사진이다. 도 5 에 나타낸 트렌치 구조는 75sccm Cl2 및 25sccm 의 SiCl4 로 에칭된다. 도 6 은, 쉘로우 트렌치 에치 동안 실리콘-함유 가스를 이용한 결과 만곡의 상부 및 저부를 갖는 테이퍼링된 트렌치의 마이크로사진이다.
도 7 및 도 8 은 게이트 에치의 개략적 표현이다. 도 7 에 나타낸 바와 같이, 실리콘 웨이퍼 (30) 는 15Å 두께의 산화물 레이어 (32), 1500Å 두께의 폴리실리콘 레이어 (34), 200Å 두께의 질화 실리콘 레이어 (36), 및 패터닝되어 게이트 에치의 위치에 대응하는 오프닝 (40) 을 포함하는 2000Å 두께의 포토레지스트 레이어 (38) 를 포함하는 레이어들의 스택을 위에 포함한다. 배리어 레이어는 질화 실리콘에 한정되지 않는다. 예를 들어, 게이트 애플리케이션의 하드마스크는 SiO2 또는 SiOxNy 이라는 것을 당업자라면 쉽게 알 수 있을 것이다. 또한, 배리어 레이어의 두께는 300Å 또는 임의의 다른 적합한 두께일 수도 있다. 도 7 에는 단일 오프닝 (40) 을 나타내었지만, 웨이퍼의 에칭 게이트 구조에서는 원하는 게이트들의 위치에 대응하는 많은 오프닝들 (40) 이 존재할 것이다.
게이트 에치의 예시적인 프로세스는 다음과 같다. 처음에, 트리밍 (trimming) 단계는 챔버 압력을 15mTorr 에 설정하고, 안테나 전력을 400와트에 설정한 상태에서 수행된다. 트리밍 단계를 위한 에치 가스는 30sccm 의 HBr 및 10sccm 의 O2 를 포함할 수 있다. 그 다음, 질화 실리콘 레이어 (36) 는 챔버가 10sccm 의 CF4 에 설정된 상태에서 에칭되어 오프닝 (40) 에 대응하는 질화 실리콘 레이어 (36) 의 오프닝을 제공한다. 그 다음, 폴리실리콘은, 브레이크스루 단계, 제 1 메인 에치, 제 2 메인 에치 및 오버 에치를 포함하는 4 단계에서 에칭된다. 브레이크스루 단계에서, 일부 화학 (예를 들어 HBr) 은 SiO2 를 쉽게 또는 균일하게 에치하지 않기 때문에, 실리콘 표면에 존재하는 본래의 산화물이 에칭된다. 화학이 CF4 를 포함하는 경우, 브레이크스루 단계의 이용은 필요하지 않다는 것은 당업자에게 있어 자명하다. 제 1 메인 에치에서, 챔버는 10mTorr 로 설정되고, 안테나는 800와트로 설정된다. 에치 가스는 50sccm 의 Cl2, 175sccm 의 HBr, 60sccm 의 CF4, 및 5sccm 의 O2 를 포함한다. 제 2 메인 에치에서, 챔버는 30mTorr 로 설정되고, 안테나는 350와트로 설정된다. 제 2 메인 에치를 위한 에치 가스는 300sccm 의 HBr 및 3sccm 의 O2 를 포함한다. 오버 에치에서, 챔버는 80mTorr 로 설정되고, 안테나는 500와트 전력이 공급된다. 오버 에치에서 에치 가스는 130sccm HBr, 4sccm 의 O2, 및 약 270sccm 의 He 를 포함한다. SiCl4 와 같은 실리콘 함유 가스가 제 1 또는 제 2 메인 에치 및/또는 오버 에치에 부가되어 게이트 프로파일 컨트롤 및 웨이퍼 CD 제어를 향상시킨다. 폴리실리콘 에치 후에, 오프닝 (42) 은 도 8 에 나타낸 바와 같이 게이트 산화물 (32) 까지 확장된다.
도 9a 는 오버 에치 단계 동안 에칭 가스 혼합물의 실리콘 함유 가스의 사용 없는 에치 프로파일의 간단 모식도이다. 에칭된 게이트 피쳐 (102a 내지 102c) 는 각각의 에치-게이트 피쳐의 베이스에서 노치 (100) 를 포함한다. 폴리실리콘 스트링거가 제거될 때, 즉 오버-에치 단계 동안, 에치 프로세스의 끝에서 게이트 모양을 보전함에 따라, 오버-에치 단계를 수행하는 동안 산화물에 대한 고선택도가 유지될 필요가 있다. 일반적으로 알려진 바와 같이, 게이트 핏팅 (pitting) 은, 게이트 산화물, 또는 게이트 재료가 작은 국지화된 영역들에서 선택적으로 에칭되어, 실리콘 아래의 에칭을 초래하여, 핏 (pit) 을 형성하는 현상이다.
도 9b 는 본 발명의 일 실시형태에 따라 오버-에치 프로세스에 실리콘 함유 가스를 부가하여 획득되는 CD 제어를 이용하여 에칭된 게이트 피쳐의 예시적인 마이크로사진이다. 실리콘 에칭 가스 혼합물의 실리콘-함유 가스는 임의의 노칭을 완화시키기 위해 측벽 패시베이션의 양을 증가시킨다. 따라서, 게이트 피쳐 (102a 내지 102c) 는 실리콘-에칭 가스에 의해 제공되는 측벽 패시베이션으로 인해 노치 없는 베이스를 갖는 것으로 나타낸다. 일 실시형태에서, 하드 마스크 게이트 애플리케이션에 관해, 측벽 패시베이션은 Si, O, 예를 들어, 브롬, 염소, 불소 등의 할로겐 또는 할로겐들의 혼합물인 X 를 갖는 X-계 재료로 주로 이루어진다. 할로겐은 에치 화학에 의존할 수도 있다는 것은 당업자에게 있어 자명하다. 여기서, 제 1 의 2 개의 에치 단계들은 에치 부산물들을 포함하는 반응들을 통해 실리콘을 기판으로부터 패시베이션 레이어 내로 포함시킨다. 하지만, 폴리실리콘 에치의 끝에서, 오버-에치 단계 동안, 에칭되는 실리콘 재료의 고갈로 인해 실리콘-계 부산물이 고갈된다. 동시에, 에칭 종들 (etching species) 농도는 증가한다. 그 결과, 오버-에치 단계 동안 작은 패시베이션이 형성되고, 라인의 베이스에 존재하는 패시베이션은 에칭 종들에 의해 어택 (attack) 을 받아 폴리실리콘 라인의 노칭을 초래한다. 그러나, 오버-에치 단계 동안 실리콘의 부가는 에칭되는 실리콘 재료에 의해 이전에 공급된 고갈된 실리콘을 대체한다.
도 10 은 에칭된 듀얼 도핑된 게이트 구조를 나타내는 간단 단면 개략도이다. 여기서, 게이트 (110) 는 n-도핑 폴리실리콘으로 이루어지고, 게이트 (112) 는 p-도핑된 폴리실리콘으로 이루어진다. 전체 에치 프로세스 동안 실리콘 함유 가스의 부가는 패시베이션 레이어의 형성을 강화하여, 기판 상의 상이한 도핑 게이트 구조에 의해 야기되는 차이를 완화시키는 것으로 평가된다. 측방 어택의 양은, 에치 화학이 게이트 산화물에 더욱 선택적이 될 때 게이트-에치 프로세스의 마지막 단계들 동안 도핑 타입에 따라 또한 변화할 수 있다. 그 결과, 실리콘 에치의 제 1 단계에서 정의된 프로파일은 최종 단계들에서 변경될 수도 있고, 다양한 도핑 영역들 사이의 프로파일 및 CD 차이로 귀결될 수도 있고, 즉, n/p 프로파일 로딩이라 불릴 수도 있다. 따라서, 또 다른 실시형태에서, 에치의 마지막 단계들, 예를 들어 오버-에치 단계에서, 가스 혼합물에 실리콘 소스의 부가는 상이한 타입으로 도핑된 실리콘 사이의 에치 레이트 마이크로-로딩을 감소시킨다. 또한, 실리콘 가스는 라인들에 더 많은 패시베이션을 부가하고, 그에 의해, 다양한 타입들로 도핑된 실리콘 사이의 측방 에치 차이들의 프로파일 정의에 대한 영향을 감소시킨다.
따라서, 실리콘 에치 동안 에치 가스 혼합물에 실리콘-함유 가스 부가는 피쳐들의 베이스의 노칭을 제거할 것이다. 추가적으로, 오버 에치 단계 동안 에치-가스 혼합물의 실리콘-함유 가스는 산화물에 대한 선택도를 증가시킬 것이다. 또한, 실리콘 에치 동안 에치 가스 혼합물의 실리콘-함유 가스는 동일 기판 상에 존재하는 다양한 타입의 도핑된 실리콘 사이의 프로파일 차이를 감소시킬 것이다. 즉, 실리콘 에치 동안 에치 가스 혼합물에 실리콘-함유 가스의 부가는 n-도핑, p-도핑 또는 도핑되지 않은 라인들 사이의 CD 바이어스 차이들을 감소시킬 것이다.
도 11 은 오버 에치 프로세스 동안 실리콘 함유 가스를 제공하도록 구성된 에치 챔버의 간단 개략도이다. 에치 챔버 (120) 는 가스 유입구 (122), 상부 전극 (124), 웨이퍼 또는 반도체 기판 (126) 을 지지하는 정전 척 (128) 을 포함한다. 에치 챔버 (120) 는, 에치 챔버를 배출시키기 위한 펌프와 유동 전달 관계에 있을 수도 있는 유출구 (130) 를 또한 포함한다. 프로세스 가스 공급기 (132) 는 가스 유입구 (122) 와 유동 전달 관계에 있다. 패시베이션 강화 가스, 예를 들어, 전술한 바와 같은 실리콘 함유 가스는 가스 유입구 (122) 를 통해 챔버에 제공될 수도 있다. 챔버 (120) 및 프로세스 가스 공급기 (132) 와 연결되어 있는 컨트롤러 (134) 는 패시베이션 결핍 상태, 즉 오버 에치 프로세스를 검출하도록 구성될 수도 있다. 패시베이션 결핍 상태 검출에 응답하여, 컨트롤러는 실리콘 함유 가스를 챔버 (120) 에 공급하기 위해 프로세스 가스 공급기 (134) 를 트리거할 수도 있다. 컨트롤러 (134) 는 임의의 적합한 엔드포인트 검출을 통해 패시베이션 결핍 상태를 검출할 수도 있고, 또는, 오버 에치 프로세스의 시작 은 실리콘 함유 가스의 공급을 트리거할 수도 있다. 또한, 컨트롤러 (134) 는 일 실시형태에서 범용 컴퓨터이다.
실리콘 함유 가스 (예를 들어, SiCl4) 의 도입과 관련된 파라미터들을 표 1 에 요약하였다.
[표 1]
압력 0.5-200mTorr
전력 10-5000W
SiCl4 유량 0.1-300sccm
온도 -77℃ 내지 200℃
표 1 은 예시적인 것이고 한정적 의미로 이해되어서는 아니된다. 즉, 임의의 적절한 실리콘 함유 가스가 이용될 수도 있다. 또한, 챔버 구성에 따라, 파라미터들의 범위는 변할 수도 있다.
일 실시형태에서, SiCl4 또는 다른 적합한 Si 함유 가스가 오버 에치 단계에서 가스 혼합물에 부가되고, 폴리실리콘은 여전히 에칭되지만, 보호 증착이 게이트 산화물 상에서 발생한다. 즉, Br 또는 Cl 또는 챔버 내에 존재하는 임의의 다른 적합한 원소를 포함할 수도 있는 SiOx 의 박막이 게이트 산화물 또는 질화 게이트 산화물 상에 증착된다. SiOx 레이어를 형성하기 위해 산소 소스가 또한 제공된다. 박막을 위한 산소 소스는, 산소 함유 가스의 도입, 또는 예를 들어, 석영, 알루미늄 등의 용기의 산소 함유 성분, 에칭되는 기판의 산소 포함 성분 등의 존재로부터 비롯될 수도 있다. 얇은 실리콘 함유 산화물 레이어의 증착의 결과로서, 폴리실리콘과 산화물 사이의 에치 레이트 선택도는 무한대가 되는, 즉 게이 트 산화물의 에칭이 일어나지 않는다는 것을 알 수 있다. 그 결과, 이는 개선된 게이트 모양을 가져오고, 즉, 임의의 산화물 손실이 존재하더라도 최소로 하고, 적은 실리콘 패임을 가져오며, 핏팅을 상당히 줄일 수 있다. 또한, 프로세스는 향상된 선택도가 없다면 핏팅이 일어날 수 있는 영역에서 작용할 수도 있기 때문에, 증가된 에치 레이트 선택도는 프로세스 윈도우를 넓힌다.
또 다른 실시형태에서, 패시베이션 레이어에 실리콘을 제공하기 위해 실리콘의 고체 소스가 챔버에 포함될 수도 있다. 즉, 에칭되는 실리콘이 실질적으로 고갈되는 오버 에치 프로세스 동안, 실리콘 고체 소스는 노칭을 방지하기 위해 패시베이션 레이어에 필요한 실리콘을 제공한다. 예를 들어, 에칭 챔버의 상부 전극은, RF 바이어스를 상부 전극에 인가함으로써 오버 에치 단계 동안 트리거될 수도 있는 고체 실리콘 소스를 포함할 수도 있다.
표 2 는 이중레이어 레지스트를 에칭하기 위한 이방성 에칭 조건들을 참조하여 여기서 설명되는 실시형태들을 달성하기 위해 에칭 챔버와 관련된 프로세싱 파라미터들을 나타낸다. 표 2 는 예시적인 것이고, 한정적으로 이해하여서는 아니된다. 표 2 에 리스트된 파라미터들은 본 양수인으로부터 이용가능한 Versys 2300 의 이용에 채택되었다. 물론, 표 2 에 리스트된 범위들은 에치 동작을 수행하는 머신의 타입에 따라 변화할 수도 있다.
[표 2]
압력 0.5-200mTorr
상부 전력 10-5000W
저부 전력 200-300Vpk
온도 20℃ 내지 70℃
SiCl4 유량 0.1-6sccm
O2 유량 100-200sccm
N2 유량 50-200sccm
Cl2 유량 10-50sccm
HBr 유량 50-100sccm
이중레이어 레지스트 에치 동안 사용되는 가스들에 관해, 하나의 적합한 조합은, O2, N2, SiCl4, HBr, 및 Cl2 의 이용을 포함한다. 산소의 유량에 대한 범위는 대략 100-200sccm 이다. 여기서, 산소가 에천트로서 사용된다. 프로세싱 동안 질소의 유량은 약 50 내지 200sccm 사이다. 질소는 기판 표면에 걸쳐 일관성을 유지하는 것을 보조한다. HBr 의 예시적인 유량은 약 50sccm 과 100sccm 사이다. HBr 은 측벽 패시베이션에 이용된다. 염소 유량은 약 10sccm 과 50sccm 사이다. 일 실시형태에서, 염소 유량은 산소 유량의 대략 10% 이다. 즉, 산소 유량 대 염소 유량의 비는 10:1 이다. 염소는 휘발성 가스들을 제거하는 것을 돕는데 이용된다. SiCl4 유량은 0.1sccm 과 6sccm 사이다. SiCl4 가스는 여기에 설명된 실시형태들에 따라 CD 를 유지하는 것을 돕는데 이용된다.
이중레이어 레지스트 에치 동안 이용되는 다른 셋팅들은 3mTorr 와 15mTorr 사이의 범위의 압력을 포함한다. 상부 전극에 대한 전력은 약 300 내지 1000와트 사이이고, 저부 전극에 대해 가용 피크 전력은 약 200 내지 300볼트 사이이다. 챔버 온도는 표 2 에 나타낸 바와 같이 약 섭씨 20 내지 70도 사이다. 일 실시형태에서, 플라즈마 밀도는 큐빅 센티미터 당 1×109 과 큐빅 센티미터 당 1×1012 사이이다. 표 2 에 나타낸 압력의 설정과 상부 전력이 이 플라즈마 밀도를 정의한다. 이온 에너지는 약 150볼트와 약 400볼트 사이에서 유지되고, 이온 에너지는 저부 전극에 공급되는 RF 피크 전력의 함수이다.
도 12 는 기판 위에 배치된 이중레이어 레지스트의 다양한 레이어들을 나타내는 간단 개략도이다. 여기서, 웨이퍼 (150) 는 폴리실리콘 레이어 (152), 저부 포토레지스트 레이어 (154) 및 상부 포토레지스트 레이어 (156) 를 포함한다. 상부 포토레지스트 레이어 (156) 는 후속하여 패터닝되고 현상된다. 그 후, 제 1 포토레지스트 레이어 (154) 및 제 2 포토레지스트 레이어 (156) 로 이루어지는 이중레이어 포토레지스트가 에칭되어 패턴을 정의한다. 통상적으로, 상부 포토레지스트 레이어 (156) 는 실리콘을 포함하고, 저부 포토레지스트 레이어는 유기 포토레지스트이다.
도 13a 및 도 13b 는 표준 폴리-실리콘 화학과 SiCl4 가스들을 이용할 때 이중레이어 포토레지스트의 에치를 통해 정의되는 패턴을 각각 나타낸다. 도 13a 는 에칭 동작 동안 종래의 화학의 결과로서 저부 포토레지스트 레이어 (154) 에서 일어나는 노칭 및 언더컷팅을 나타낸다. 도 13a 에 나타낸 바와 같이, 노칭 및 언더컷팅으로 인해 에칭된 저부 포토레지스트 레이어들 (154) 사이에서 정의되는 CD 의 손실이 존재하고, 이는 또한 폴리실리콘 레이어 (152) 의 후속 에칭에 영향 을 줄 것이다. 그러나, 도 13b 는 에치 동작 동안 도입되는 SiCl4 가스의 활용으로 언더컷팅 및 노칭의 제거를 나타낸다. 여기서, 저부 포토레지스트 레이어 (154) 및 상부 포토레지스트 레이어 (156) 의 에칭은 CD 손실이 없는 에치 동작을 가져온다.
도 14a 및 도 14b 는 본 발명의 일 실시형태에 따라 SiCl4 가스의 이용 효과를 나타내는, 도 13a 및 도 13b 에 대응하는 실제 마이크로 사진을 나타낸다. 도 14b 에 나타낸 바와 같이, CD 의 손실은 도 14a 에 비해 최소이다. 도 14a 는 에치 동작 동안 표준 폴리실리콘 화학 (HBr, O2, N2) 을 이용한 결과이다. 도 14b 는 에치 동작을 위해 Cl2, HBr, O2, N2, 및 SiCl4 가스들을 이용한다. SiCl4 는 CD 손실을 최소화하기 위해 에치 동작 동안 O2 와 함께 SiO2 를 형성한다.
도 15 는 본 발명의 일 실시형태에 따라 플라즈마 에치 챔버의 기판 위에 정의된 이중레이어 레지스트를 에칭하기 위한 방법을 나타내는 플로우차트도이다. 본 방법은 이중레이어 포토레지스트의 제 1 레이어 상에 정의된 패턴을 갖는 기판이 에치 챔버로 도입되는 단계 (operation) 160 으로 시작한다. 그 다음, 본 방법은 단계 162 로 진행하고, 여기서, SiCl4 가스는 표 2 에 리스트된 가스들의 혼합물과 함께 에치 챔버 내로 유입된다. 표 2 를 참조하여 설명한 바와 같이, SiCl4 가스의 유량은 일 실시형태에서 0.1SCCM 과 6SCCM 사이다. 본 방법은 그 다음 단계 164 로 진행하고, 여기서, SiCl4 가스를 챔버 내로 유입시키는 동안 산소 계 플라즈마가 에치 챔버에서 스트라이킹된다. 여기서, 표 2 를 참조하여 리스트된 가스들이 SiCl4 와 함께 챔버 내로 유입된다. 일 실시형태에서, 큐빅 센티미터 당 약 1×109 와 큐빅 센티미터 당 약 1×1012 사이의 플라즈마 밀도가 에치 챔버 내에서 유지된다. 또 다른 실시형태에서, 약 150볼트와 약 400볼트 사이의 이온 에너지가 에치 챔버 내에서 유지된다. 그 다음, 본 방법은 단계 166 으로 진행하고, 여기서, 이중레이어 레지스트는 여기에 설명된 조건들에 따라 에칭된다.
도 16a 는 본 발명의 일 실시형태에 따라 다중-레이어 포토레지스트 에치를 겪는 기판에 대한 다양한 레이어들을 나타내는 간단 개략도이다. 포토레지스트 레이어 (200) 는 저부 반반사 코팅 레이어 (202) 위에 배치된다. 포토레지스트 레이어 (200) 는 본 실시형태에서 공지의 기술들에 의해 준비될 수도 있다. BARC 레이어 (202) 는, ULPR (under-layer photoresist) (206) 상에 배치된 캡 유전 레이어 (204) 위에 배치되고, ULPR 은 또한 레이어 (208) 위에 배치된다. 일 실시형태에서, 포토레지스트 레이어 (200) 는 약 1200-2000옹스트롬 사이의 두께를 갖는다. BARC 레이어 (202) 는 약 600-1000옹스트롬 사이의 두께를 갖는다. 일 실시형태에서, 캡 유전 레이어 (204) 는 약 200-400옹스트롬 사이의 두께를 갖고, ULPR (206) 은 약 2000-3000옹스트롬 사이의 두께를 갖는다. 또 다른 실시형태에서, ULPR (206) 은 APPLIED MATERIALS 사 제조의 APF (advanced patterning film) 또는 NOVELLUS 사 제조의 AHM (ashable hard mask) 등과 같은 비 결정질 탄소로 이루어지고, ULPR 레이어 (206) 의 두께는 약 600-1200옹스트롬 사이다. 포토레지스트 레이어 (200) 는 193나노미터 포토레지스트와 같은 임의의 적합한 포토레지스트 레이어일 수도 있다는 것을 당업자들은 알 수 있을 것이다. 일반적으로 알려진 바와 같이, BARC 레이어 (202) 가, 박막 간섭에 의해 야기되는 표준 웨이브 효과 및 반사적 노칭을 억제함으로써 CD 의 제어를 향상시키는데 이용된다. BARC 레이어 (202) 는 선택적이고, 캡 유전 레이어 (204) 의 구성 및/또는 에치 프로세스를 제어하는 엔티티의 선택에 따라 포함될 수도 있고, 포함되지 않을 수도 있다. 일 예시적인 실시형태에서 캡 유전 레이어 (204) 는 실리콘산화질화레이어 (예를 들어, SiON) 일 수도 있다. 다르게는, 캡 유전 레이어 (204) 는 SiOx (여기서 x 는 1 이상의 정수) 식을 갖는 실리콘산화레이어일 수도 있다.
도 16b 는 도 16a 의 에칭된 레이어들을 나타내는 간단 개략도이다. 일 실시형태에서, 도 16b 에 나타낸 결과를 획득하기 위해 표 3 의 프로세싱 파라미터들을 이용하는 방법이 적용된다. 나타낸 바와 같이, 도 16a 의 레이어들 (200 및 202) 은 에치 동작으로부터 제거된다. 도 16a 및 도 16b 의 실시형태들을 위한 에천트 재료는 CF4, CHF3 또는 CH2F2 와 같은 통상의 불소계 에천트 중 임의의 것이 될 수도 있다. 또한, 플라즈마는 도 16a 및 도 16b 의 실시형태들에 대해 산소계 플라즈마일 수도 있다. 따라서, 동일한 에천트 및 플라즈마가 도 16a 에서 도 16b 까지 나타낸 레이어들을 에칭하는데 이용될 수도 있다. 비결정질 탄소 웨이퍼는 통상적으로 캡 유전체를 포함하는 반면, 비결정질 탄소 이외의 ULPR 은 종종 실리콘 포함 BARC 를 포함한다. 따라서, SiCl4 또는 SO2 는 이하 논의되는 바와 같이 전체 에치 동작에 대한 이들 실예로서 이용될 수도 있다. 표 3 은 예시적인 방법들 및 대응하는 구성요소들 및 설정들에 대한 더 상세한 내용들을 제공한다. 도 16a 를 참조하여 전술한 바와 같이, 일 실시형태에서 BARC 레이어 (202) 는 선택적이다.
도 17a 는 본 발명의 일 실시형태에 따라 도 16a 의 다중-레이어 포토레지스트에 대해 다른 레이어들을 나타내는 간단 개략도이다. 도 17a 에서, 포토레지스트 (200) 가 실리콘 함유 BARC 레이어 (210) 위에 준비된다. 실리콘 함유 BARC 레이어 (210) 는 ULPR 레이어 (206) 위에 배치된다. 실리콘 함유 BARC 레이어 (210) 는 실리콘, 산소 및 탄소 원소들의 조합으로 구성될 수도 있다는 것을 당업자는 알 수 있을 것이다. 도 16a 와 도 17a 사이의 공통적인 레이어들의 두께가 유사하다는 것을 알 수 있을 것이다. 실리콘 함유 BARC 레이어 (210) 의 두께는 일 실시형태에서 약 600-900옹스트롬 사이다. 도 17b 에 나타낸 에치 프로파일을 달성하기 위해 도 17a 에 대해 수행되는 에치는 도 16a 와 관련하여 전술한 것과는 어떤 다른 방법을 이용할 수도 있다. 도 17a 에서, 상부 포토레지스트 레이어 (200) 에 대한 에천트는 도 16a 와 관련하여 설명된 바와 같이 이용되는 에천트와 유사, 즉, 불소계 에천트일 수도 있다. 그러나, 도 17a 의 나머지 레이어들과 관련하여, 사염화실란 (SiCl4) 으로도 알려진 염화 실리콘/산소계 에 천트가 이후 이용될 수도 있다. 예를 들어, 이러한 에천트 방법 중 하나는 SiCl4/O2/He/HBr/Cl2 를 포함할 수도 있다. 다른 실시형태에서, 이산화황 (SO2) 이 SiCl4 를 대체하기 위해 이용될 수도 있다. 염화 실리콘 또는 이산화황의 사용은 적절한 에치를 보장하기 위해 실리콘 함유 BARC 레이어 (210) 및 ULPR 레이어 (206) 를 보호하는 것을 돕는다. 물론, 여기에 설명된 SiCl4 또는 SO2 에천트는 불소계 에천트 대신에 도 16a 및 도 16b 와 관련하여 논의된 레이어들에 대해 이용될 수도 있다.
도 18 은 본 발명의 일 실시형태에 따라 다중-레이어 포토레지스트를 갖는 기판을 에칭하기 위한 방법 단계들을 나타내는 플로우차트도이다. 본 방법은 단계 220 으로 시작하고, 여기서, 다중-레이어 레지스트의 제 1 레이어 상에 정의된 패턴을 갖는 기판이 에치 챔버 내로 도입된다. 본 방법은 단계 222 로 진행하고, 여기서, 트림 동작이 수행된다. 전술한 바와 같이, 트림 동작은 표 3 의 설정에 따라 다중-레이어 레지스트의 상부 레이어의 일부를 측방 에치한다. 일 실시형태에서, 트림 동작은 BARC 레이어가 오픈된 후에 올 것이다. 또 다른 실시형태에서, 하드마스크를 트리밍하는 포토레지스트 트림인 하드마스크 트림이 또한 수행된다. 그 다음, 본 방법은 단계 224 로 진행하고, 여기서, SiCl4 가스 또는 SO2 가스가 에치 챔버 내로 도입된다. 플라즈마가 생성되고, 기판의 BARC 레이어를 통해 에치가 수행되고, 여기서, SiCl4 및 SO2 가 BARC 에치용으로 사용될 수도 있다. 물론, 불소계 에천트가 BARC 에치용으로 사용될 수도 있다. 일 실시형태에서, 플라즈마는 산소계 플라즈마이다. 본 방법은 단계 226 으로 진행하고, 여기서, 도 16a 내지 도 17b 에 나타낸 다양한 레이어들을 통해 에치를 수행하기 위해, 부가적인 원소들/가스들, 즉, 헬륨, 브롬화수소, 염소, 질소, 및/또는 아르곤 가스 등이 도입될 수도 있다. SO2 를 이용할 때, CxSy (x 및 y 는 0 이 아닌 정수) 의 조성을 갖는 패시베이션 레이어가 에칭되는 피쳐의 측벽 상에 쌓인다. SiCl4 가스를 이용할 때, SiOx 또는 SiOxCl2 (또는 SiOxBry) (x 및 y 는 정수) 패시베이션 레이어가 에칭되는 피쳐의 측벽 상에 형성되어 측방 에칭이 일어나는 것을 방지할 수도 있다. 일 실시형태에서, 다중-레이어 포토레지스트가 캡 유전 레이어를 포함할 때, SiCl4 가스가 에천트로서 이용되고, 도 16a 및 도 16b 의 실시형태들을 예로 들 수 있다. 또 다른 실시형태에서, SO2 가스가, 캡 유전 레이어가 있는 또는 없는 다중-레이어 포토레지스트와 함께 이용될 수도 있고, 도 16a 내지 도 17b 중 임의의 것에 나타내어진 실시형태들을 예로 들 수 있다.
표 3 은 도 16a 내지 도 17b 와 관련하여 언급된 에칭 프로세스들에 대한 예시적인 프로세스 설정들을 제공한다. 사용되는 에치 챔버에 따라 설정들이 변할 수도 있다는 것을 당업자는 알 수 있을 것이며, 표 3 에 제공된 설정들은 예시적인 것이고 한정적인 것이 아니다. 예시적인 범위들 및 구성요소들이 도 18 과 관련하여 논의된 방법에 적용될 수도 있다. 또한, 설정들 및 구성요소들의 다양한 조합들이, 가능하지 않다고 명백하게 설명한 곳을 제외하고는, 가능하다.
프로세스들/대응하는 레이어들이 표 3 의 열 3-9 에 구체화되어 있고, 열 1 은 구성요소/설정을 제공하고, 열 2 는 대응하는 구성요소/설정에 대한 측정 단위를 제공한다. 표 3 에 나타낸 바와 같이, 트림 프로세스는 초기에 수행될 수도 있고, 때로는 초기 외에서 수행될 수도 있다. 트림 프로세스는 포토레지스트의 폭을 "트리밍" 하기 위해 수행되고, 포토레지스트 및 설정들은 열 3 에 제공된다. 예를 들어, 포토레지스트는 리소그래피의 제약 때문에 필요한 것 보다 약간 더 큰 두께로 준비될 수도 있다. 트림 동작은 마스크의 두께를 더 감소시킬 것이다. 일 실시형태에서, 바이어스전력의 감소 또는 제거 및 O2 유량의 증가는 더 많은 측방 어택을 야기하여 트림 동작을 수행할 것이다. 열 4 에서, BARC 의 에칭 동작에 대한 설정들이 제공된다. 여기서, SO2 가스는 HBr, Cl2, 및 헬륨과 함께 또는 이들 없이 이용될 수도 있다. 일 실시형태에서, SiCl4 가 SO2 대신에 이용될 수도 있다. 실리콘 함유 BARC 에 대해, 설정들이 열 5 에 제공된다. 여기서, SO2 가 대응하는 유량에서 제공되고, 다른 가스들은 본 실시형태에서 선택적으로 제공될 수도 있다. 캡 유전체 (예를 들어, SiON) 에치를 위한 설정들이 열 6 에 제공되고, 여기서 불소계 에천트가 이용된다. 열 7 및 열 8 에서, ULPR 의 상이한 실시형태들을 에칭하기위한 예시적인 설정들이 제공된다. 열 7 에서, 설정들은 SO2 의 이용을 포함하고, SiCl4 가 열 8 의 예시적인 설정들에 대해 이용된다. 일 실시형태에서, ULPR 은 비결정질 탄소 재료이고, 설정들이 열 9 에 제공된다. 전술한 바와 같이, 표 3 에 제공된 설정들은 예시적인 것이고 장치 의존적이다. 그러나, 다양한 가스들 및 설정들의 조합은 여기에 설명된 다중-레이어 에치에 대해 원하는 결과들을 제공한다. 일 실시형태에서, 다중-레이어 레지스트 에치는 도 16a 내지 도 17b 에 나타낸 바와 같이, 최소 3 개의 레지스트 레이어를 포함한다.
[표 3]
Figure 112007084163610-pat00001
앞에서 본 발명의 원리들, 바람직한 실시형태들 및 동작의 모드들을 설명하였다. 그러나, 본 발명은 논의된 특정 실시형태들에 한정되는 것으로 해석되어서는 아니된다. 따라서, 전술한 실시형태들은 한정적이라기보다는 예시적인 것으로 받아들여져야 하고, 다음의 청구항들에 의해 정의되는 본 발명의 범위에서 벗어남이 없이 이들 실시형태들의 다양한 변형들이 당업자에 의해 이루어질 수 있다는 것을 이해하여야 한다.
본 발명은 여기에서 몇몇 예시적인 실시형태들의 면에서 설명되었다. 본 발명의 다른 실시형태들은 본 발명의 명세서 및 실시형태들을 고려하여 당업자들에 있어 자명하다. 전술한 실시형태들 및 바람직한 모습들은 첨부된 청구범위에 의해 정의되는 본 발명의 예시로서 고려되어야 한다.
도 1 은 STI 피쳐를 형성하기 전의 실리콘 기판 상의 레이어들의 스택의 모식도이다.
도 2 는 포토레지스트 및 BARC 레이어들이 제거되고 질화 실리콘 및 패드 산화 레이어들이 에칭되는 쉘로우 트렌치 에칭 프로세스의 모식도이다.
도 3 은 실리콘 기판 내로 에칭된 STI 피쳐의 모식도이다.
도 4 는 활모양 프로파일 및 서브트렌칭된 바닥을 갖는 STI 피쳐들의 마이크로사진이다.
도 5 는 실리콘-함유 가스로 에칭된 STI 피쳐들의 마이크로사진이다.
도 6 은 상부 및 바닥에 둥근 모서리를 포함하는 STI 피쳐들의 마이크로사진이다.
도 7 은 게이트 에치를 수행하기 전에 레이어들의 스택을 위에 갖는 실리콘 기판의 모식도이다.
도 8 은 실리콘 기판 상의 질화 실리콘 및 폴리실리콘 레이어들이 게이트 산화물까지 에칭된 실리콘 기판의 모식도이다.
도 9a 는 오버 에치 단계 동안 에칭 가스 혼합물에 실리콘 함유 가스를 이용하지 않은 에치 프로파일의 간단 모식도이다.
도 9b 는 CD 제어를 이용하여 에칭된 게치트 피쳐의 마이크로사진이다.
도 10 은 상이한 도핑 영역들에 의해 야기되는 에치 레이트 차이들을 완화하기 위해 이용되는 실리콘 함유 가스를 이용하여 에칭된 듀얼 도핑 게이트 구조를 나타내는 간단화된 단면 모식도이다.
도 11 은 오버 에치 프로세스 동안 실리콘 함유 가스를 제공하도록 구성된 에치 챔버의 간단 모식도이다.
도 12 는 기판 위에 배치된 이중레이어 레지스트의 다양한 레이어들을 나타내는 간단 모식도이다.
도 13a 는 에칭 동작 동안 실리콘 이산화물의 이용 결과로서 하부 포토레지스트 레이어에서 일어나는 노칭 및 언더컷팅을 나타낸다.
도 13b 는 본 발명의 일 실시형태에 따라 에치 동작 동안 도입되는 SiCl4 가스 사용을 통한 언더컷팅과 노칭의 제거를 나타낸다.
도 14a 및 도 14b 는 본 발명의 일 실시형태에 따라 SO2 와 비교해 SiCl4 가스 이용의 효과를 나타내는, 도 13a 및 도 13b 에 대응하는 마이크로사진을 나타낸다.
도 15 는 본 발명의 일 실시형태에 따라 플라즈마 에치 챔버의 기판 위에 정의된 이중레이어 레지스트를 에칭하는 방법을 나타내는 플로우차트도이다.
도 16a 는 본 발명의 일 실시형태에 따라 다중-레이어 포토레지스트 에치를 겪은 기판에 대한 다양한 레이어들을 나타내는 간단 모식도이다.
도 16b 는 도 16a 의 에칭된 레이어들을 나타내는 간단 모식도이다.
도 17a 는 본 발명의 일 실시형태에 따라 도 16a 의 다중-레이어 포토레지스트와는 다른 레이어들을 나타내는 간단 모식도이다.
도 17b 는 도 17a 의 에칭된 레이어들을 나타내는 간단 모식도이다.
도 18 은 본 발명의 일 실시형태에 따라 다중-레이어 포토레지스트를 갖는 에칭 기판에 대한 방법 단계들을 나타내는 플로우차트도이다.

Claims (22)

  1. 플라즈마 에치 챔버에서 기판 위에 정의된 다중-레이어 레지스트를 에칭하는 방법으로서,
    상기 다중-레이어 레지스트의 제 1 레이어 상에 정의된 패턴을 갖는 상기 기판을 상기 에치 챔버 내로 도입하는 단계;
    SO2 가스를 상기 에치 챔버 내로 유입하는 단계;
    상기 SO2 가스를 유입시키면서 상기 에치 챔버에서 플라즈마를 스트라이킹하는 단계; 및
    상기 다중-레이어 레지스트를 에칭하는 단계를 포함하는, 다중-레이어 레지스트 에칭 방법.
  2. 제 1 항에 있어서,
    상기 SO2 가스를 에치 챔버 내로 유입하는 단계는, 상기 SO2 가스를 0.1sccm 과 200sccm 사이의 유량으로 유입하는 단계를 포함하는, 다중-레이어 레지스트 에칭 방법.
  3. 제 1 항에 있어서,
    염소 가스를 상기 에치 챔버 내로 유입하는 단계;
    브롬화 수소 가스를 상기 챔버 내로 유입하는 단계; 및
    불활성 가스를 상기 챔버 내로 유입하는 단계를 추가로 포함하는, 다중-레이어 레지스트 에칭 방법.
  4. 제 3 항에 있어서,
    상기 불활성 가스는 질소이고, 상기 다중-레이어 레지스트는 3 이상의 레지스트 레이어들을 포함하는, 다중-레이어 레지스트 에칭 방법.
  5. 제 1 항에 있어서,
    상기 SO2 가스를 유입시키면서 에치 챔버에서 플라즈마를 스트라이킹하는 단계는, 산소계 플라즈마를 생성하는 단계를 포함하는, 다중-레이어 레지스트 에칭 방법.
  6. 제 1 항에 있어서,
    상기 SO2 가스를 유입시키면서 에치 챔버에서 플라즈마를 스트라이킹하는 단계는, 플라즈마 밀도를 1×109/cm3 과 1×1012/cm3 사이에서 유지하는 단계를 포함하는, 다중-레이어 레지스트 에칭 방법.
  7. 제 1 항에 있어서,
    상기 SO2 가스를 유입시키면서 에치 챔버에서 플라즈마를 스트라이킹하는 단계는, 이온 에너지를 150볼트와 400볼트 사이에서 유지하는 단계를 포함하는, 다중-레이어 레지스트 에칭 방법.
  8. 제 6 항에 있어서,
    상기 플라즈마 밀도를 1×109/cm3 과 1×1012/cm3 사이에서 유지하는 단계는,
    챔버 압력을 2mTorr 와 20mTorr 사이에서 확립하는 단계; 및
    상기 에치 챔버의 상부 전극에 대한 전력 레벨을 200와트와 1000와트 사이에서 설정하는 단계를 포함하는, 다중-레이어 레지스트 에칭 방법.
  9. 제 7 항에 있어서,
    상기 이온 에너지를 150볼트와 400볼트 사이에서 유지하는 단계는, 저부 전극에 대한 무선 주파수 (RF) 피크 전압을 200볼트와 300볼트 사이에서 확립하는 단계를 포함하는, 다중-레이어 레지스트 에칭 방법.
  10. 제 1 항에 있어서,
    상기 SO2 가스를 유입시키면서 에치 챔버에서 플라즈마를 스트라이킹하는 단계는, 챔버 온도를 20℃ 와 70℃ 사이에서 유지하는 단계를 포함하는, 다중-레이어 레지스트 에칭 방법.
  11. 에치 챔버에서 다중-레이어 레지스트 에치 동안 CD (critical dimension) 바이어스를 제어하는 방법으로서,
    SO2 가스를 챔버 내로 유입시키면서 상기 챔버에서 산소계 플라즈마를 스트라이킹하는 단계;
    플라즈마 밀도를 1×109/cm3 과 1×1012/cm3 사이에서 유지하는 단계; 및
    상기 다중-레이어 레지스트의 각각의 레이어를 에칭하는 단계를 포함하는, CD 바이어스 제어 방법.
  12. 제 11 항에 있어서,
    상기 SO2 가스를 챔버 내로 유입시키면서 챔버에서 산소계 플라즈마를 스트라이킹하는 단계는,
    염소 가스를 상기 에치 챔버 내로 유입하는 단계;
    HBr 가스를 상기 챔버 내로 유입하는 단계; 및
    불활성 가스를 상기 챔버 내로 유입하는 단계를 포함하는, CD 바이어스 제어 방법.
  13. 제 11 항에 있어서,
    상기 SO2 가스를 챔버 내로 유입시키면서 챔버에서 산소계 플라즈마를 스트라이킹하는 단계는, 상기 SO2 가스를 0.1sccm 유량과 200sccm 유량 사이에서 상기 챔버 내로 유입하는 단계를 포함하는, CD 바이어스 제어 방법.
  14. 제 11 항에 있어서,
    이온 에너지를 150볼트와 400볼트 사이에서 유지하는 단계를 추가로 포함하는, CD 바이어스 제어 방법.
  15. 제 14 항에 있어서,
    상기 이온 에너지를 150볼트와 400볼트 사이에서 유지하는 단계는, 저부 전극에 대한 RF 피크 전압을 200볼트와 300볼트 사이에서 확립하는 단계를 포함하는, CD 바이어스 제어 방법.
  16. 제 11 항에 있어서,
    상기 플라즈마 밀도를 1×109/cm3 과 1×1012/cm3 사이에서 유지하는 단계는, 상부 전극과 관련한 전력 레벨을 300와트와 1000와트 사이에서 유지하는 단계를 포함하는, CD 바이어스 제어 방법.
  17. 제 12 항에 있어서,
    상기 챔버 내로의 산소 가스에 대한 유량 대 상기 챔버 내로의 상기 염소 가스의 유량의 비를 10:1 에서 유지하는 단계를 추가로 포함하는, CD 바이어스 제어 방법.
  18. 제 12 항에 있어서,
    상기 불활성 가스의 유량은 50sccm 과 200sccm 사이고, 상기 HBr 가스에 대한 유량은 50sccm 과 100sccm 사이며, 상기 염소 가스에 대한 유량은 10sccm과 50sccm 사이인, CD 바이어스 제어 방법.
  19. 제 11 항에 있어서,
    상기 다중-레이어 레지스트는 제 2 레이어 위에 배치되는 제 1 레이어를 포함하고, 상기 제 1 레이어는 유기 포토레지스트이고, 상기 제 2 레이어는 실리콘 함유 BARC (bottom anti-reflective coating) 이며, 상기 제 2 레이어는 제 3 레이어 위에 배치되고, 상기 제 3 레이어는 ULPR (under layer photoresist) 인, CD 바이어스 제어 방법.
  20. 플라즈마 에치 챔버에서 기판 위에 정의된 다중-레이어 레지스트를 에칭하는 방법으로서,
    상기 다중-레이어 레지스트의 제 1 레이어 상에 정의된 패턴을 갖는 상기 기판을 상기 에치 챔버 내로 도입하는 단계;
    SiCl4 가스 또는 SO2 가스 중 하나를 상기 에치 챔버 내로 유입하는 단계;
    상기 SiCl4 가스 또는 SO2 가스를 유입시키면서 상기 에치 챔버에서 플라즈마를 스트라이킹하는 단계;
    염소 가스를 상기 에치 챔버 내로 유입하는 단계;
    브롬화 수소 가스를 상기 챔버 내로 유입하는 단계;
    불활성 가스를 상기 챔버 내로 유입하는 단계; 및
    상기 다중-레이어 레지스트를 에칭하는 단계를 포함하는, 다중-레이어 레지스트 에칭 방법.
  21. 제 20 항에 있어서,
    상기 SiCl4 가스 또는 SO2 가스를 상기 에치 챔버 내로 유입하는 단계는,
    SO2 가스가 상기 에치 챔버 내로 도입될 때, 상기 SO2 가스를 0.1sccm 과 200sccm 사이의 유량으로 유입시키는 단계; 및
    SiCl4 가스가 상기 에치 챔버 내로 도입될 때, 상기 SiCl4 가스를 0.1sccm 과 200sccm 사이의 유량으로 유입시키는 단계를 포함하는, 다중-레이어 레지스트 에칭 방법.
  22. 삭제
KR1020070119838A 2006-11-22 2007-11-22 다중-레이어 레지스트 플라즈마 에치 방법 KR101476435B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/562,929 2006-11-22
US11/562,929 US7361607B2 (en) 2003-06-27 2006-11-22 Method for multi-layer resist plasma etch

Publications (2)

Publication Number Publication Date
KR20080046608A KR20080046608A (ko) 2008-05-27
KR101476435B1 true KR101476435B1 (ko) 2014-12-24

Family

ID=39547559

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070119838A KR101476435B1 (ko) 2006-11-22 2007-11-22 다중-레이어 레지스트 플라즈마 에치 방법

Country Status (4)

Country Link
US (1) US7361607B2 (ko)
KR (1) KR101476435B1 (ko)
CN (1) CN101197258A (ko)
TW (1) TWI375991B (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8206996B2 (en) * 2006-03-28 2012-06-26 Lam Research Corporation Etch tool process indicator method and apparatus
US7592265B2 (en) * 2007-01-04 2009-09-22 United Microelectronics Corp. Method of trimming a hard mask layer, method for fabricating a gate in a MOS transistor, and a stack for fabricating a gate in a MOS transistor
KR100898590B1 (ko) * 2007-08-13 2009-05-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
US8581523B2 (en) * 2007-11-30 2013-11-12 Mevion Medical Systems, Inc. Interrupted particle source
KR20090069122A (ko) * 2007-12-24 2009-06-29 주식회사 하이닉스반도체 반도체 장치의 제조방법
CN102142385B (zh) * 2009-12-15 2013-11-20 朗姆研究公司 蚀刻工具工艺指标方法和装置
JP5123349B2 (ja) * 2010-04-19 2013-01-23 Hoya株式会社 多階調マスクの製造方法
JP2012015343A (ja) * 2010-07-01 2012-01-19 Hitachi High-Technologies Corp プラズマエッチング方法
US8314033B2 (en) 2010-09-24 2012-11-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
KR101987688B1 (ko) * 2011-12-13 2019-06-12 엘지디스플레이 주식회사 어레이 기판의 제조방법
JP6050944B2 (ja) * 2012-04-05 2016-12-21 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
DE102012103938A1 (de) * 2012-05-04 2013-11-07 Reinhausen Plasma Gmbh Plasmamodul für eine Plasmaerzeugungsvorrichtung und Plasmaerzeugungsvorrichtung
CN102866581A (zh) * 2012-09-27 2013-01-09 无锡华润上华科技有限公司 一种解决曝光不足引起的曝光区域缺陷的方法
US9105587B2 (en) * 2012-11-08 2015-08-11 Micron Technology, Inc. Methods of forming semiconductor structures with sulfur dioxide etch chemistries
US8668835B1 (en) 2013-01-23 2014-03-11 Lam Research Corporation Method of etching self-aligned vias and trenches in a multi-layer film stack
US8906810B2 (en) 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
CN104425354A (zh) * 2013-08-20 2015-03-18 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的制造方法
WO2016160580A1 (en) * 2015-04-02 2016-10-06 Tokyo Electron Limited Partial etch memorization via flash addition
CN111834289B (zh) * 2019-04-16 2022-10-28 中电海康集团有限公司 一种金属铝的刻蚀方法
US20220334482A1 (en) * 2021-04-15 2022-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist top coating material for etching rate control

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050023242A1 (en) * 2003-06-27 2005-02-03 Lam Research Corporation Method for bilayer resist plasma etch

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5145554A (en) * 1989-02-23 1992-09-08 Seiko Epson Corporation Method of anisotropic dry etching of thin film semiconductors
US5750441A (en) * 1996-05-20 1998-05-12 Micron Technology, Inc. Mask having a tapered profile used during the formation of a semiconductor device
US20020003126A1 (en) * 1999-04-13 2002-01-10 Ajay Kumar Method of etching silicon nitride
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US7105361B2 (en) * 2003-01-06 2006-09-12 Applied Materials, Inc. Method of etching a magnetic material

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050023242A1 (en) * 2003-06-27 2005-02-03 Lam Research Corporation Method for bilayer resist plasma etch

Also Published As

Publication number Publication date
KR20080046608A (ko) 2008-05-27
US20080038927A1 (en) 2008-02-14
CN101197258A (zh) 2008-06-11
TWI375991B (en) 2012-11-01
US7361607B2 (en) 2008-04-22
TW200834714A (en) 2008-08-16

Similar Documents

Publication Publication Date Title
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
US6670278B2 (en) Method of plasma etching of silicon carbide
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US6537918B2 (en) Method for etching silicon oxynitride and dielectric antireflection coatings
US6461974B1 (en) High temperature tungsten etching process
TWI479565B (zh) The formation of fine graphics
US20050037624A1 (en) Method for plasma etching performance enhancement
US20080197109A1 (en) Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
JP2006066408A (ja) ドライエッチング方法
KR20040066170A (ko) 질화물 숄더에 대해 높은 민감도를 갖는 자기 정렬 콘택에칭
JP2001526461A (ja) 酸化窒化珪素と無機反射防止皮膜をエッチングするための方法
JP2004519838A (ja) 窒化チタンをエッチングする方法
KR20040017805A (ko) 유기 반사방지 코팅(arc)층의 에칭 방법
KR20030066673A (ko) 반도체 구조에서 텅스텐 또는 질화 텅스텐 전극 게이트식각 방법
KR20060063714A (ko) 높은 소스 및 낮은 충격 플라즈마를 이용하여 고에칭율을제공하는 유전체 에칭 방법
KR101224747B1 (ko) 감소된 에칭률 마이크로-로딩을 갖는 텅스텐 실리사이드에칭 공정
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JPH1098029A (ja) 基板から有機反射防止膜をエッチングする処理法
KR20030081052A (ko) 반도체 장치 제조 방법
US6544896B1 (en) Method for enhancing etching of TiSix
WO2004042813A1 (en) Method of etching a silicon-containing dielectric material
TW478064B (en) Method of plasma etching a polysilicon layer through a patterned SiO2 layer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171208

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181206

Year of fee payment: 5