KR20040017805A - 유기 반사방지 코팅(arc)층의 에칭 방법 - Google Patents

유기 반사방지 코팅(arc)층의 에칭 방법 Download PDF

Info

Publication number
KR20040017805A
KR20040017805A KR10-2003-7012285A KR20037012285A KR20040017805A KR 20040017805 A KR20040017805 A KR 20040017805A KR 20037012285 A KR20037012285 A KR 20037012285A KR 20040017805 A KR20040017805 A KR 20040017805A
Authority
KR
South Korea
Prior art keywords
gas
substrate
source gas
layer
etching
Prior art date
Application number
KR10-2003-7012285A
Other languages
English (en)
Inventor
야우오래나
선메이화
가니니콜라스
친제프리디
Original Assignee
어플라이드 머티어리얼즈 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼즈 인코포레이티드 filed Critical 어플라이드 머티어리얼즈 인코포레이티드
Publication of KR20040017805A publication Critical patent/KR20040017805A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

유기 코팅층, 특히 유기 ARC층을 에칭하는 2 단계 방법이 개시된다. 메인에칭 단계 동안, 유기 코팅층은 플루오로 카본과 탄소를 함유하지 않는 할로겐 함유 가스를 포함하는 제 1 소오스 가스로부터 생성되는 플라즈마를 이용하여 에칭된다. 제 1 기판 바이어스 전력을 이용하여 에칭이 수행된다. 오버에칭 단계 동안, 염소 함유 가스와 산소 함유 가스를 포함하고 폴리머 형성 가스를 포함하지 않는 제 2 소오스 가스로부터 생성되는 플라즈마에 기판을 노출시킴으로써 메인에칭 단계 이후 잔존하는 잔존 유기 코팅 재료가 제거된다. 제 1 기판 바이어스 전력보다 작은 제 2 기판 바이어스 전력을 이용하여 오버에칭 단계가 수행된다. 제 1 소오스 가스 및 제 1 기판 바이어스 전력은 메인에칭 단계 동안 분리된 형성체 영역보다 조밀한 형성체 영역에서 보다 빠른 에칭 속도를 제공하는 반면, 제 2 소오스 가스 및 제 2 기판 바이어스 전력은 오버에칭 단계 동안 조밀한 형성체 영역보다 분리된 형성체 영역에 보다 빠른 에칭 속도를 제공한다.

Description

유기 반사방지 코팅(ARC)층의 에칭 방법{METHOD OF ETCHING ORGANIC ANTIREFLECTION COATING (ARC) LAYERS}
반도체 장치 제조 분야에서, 장치 형성체 크기가 약 0.15 ㎛ 미만으로 감소됨에 따라, 포토레지스트 재료를 통한 마스크 패터닝시 딥 DUV (deep ultra violet wavelength) 의 이용이 필요하다는 것은 공지된 것이다. ARC 는, 포토레지스트 패터닝의 치수를 보다 잘 제어하기 위해, 다른 포토레지스트 중에서, DUV 포토레지스트와 결합하여 이용된다.
통상, 포토레지스트는 반도체 장치 제조 공정의 일부로서 패턴화되는 다른층의 적층체 상에 도포된다. 기능 장치의 부분이 되는 하위 층들의 패터닝 프로세스 동안, 적층체의 층들의 일부가 소모된다. ARC층은 애플리케이션에 따라 층들의 스택 내에서 복수개의 상이한 위치에 존재할 수 있다. ARC층 적층물이 상부 상에 도포될 때, 이를 TAR (top antireflective coating) 라 한다. ARC층이 포토레지스트층 바로 아래에 위치될 때, 이를 BARC 라 한다. 대개 TAR 코팅이 포토레지스트 패터닝 (현상) 프로세스 동안 제거되지만, BARC층은 건식 에칭 제거 단계를 매우 빈번하게 필요로 한다.
통상, 유기 ARC 건식 에칭 프로세스는 플라즈마 에칭 시스템에서 이루어진다. ARC 에칭 플라즈마 소오스 가스는 조성이 현저하게 변화한다. 플라스마 소오스 가스의 몇몇 예에는 CHF3/CF4/Ar-O; CF4/He-O2; O2/N2; HBr/O2; 및 HBr/CO2/O2-Ar 이 포함된다.
실리콘을 포함하는 기판 상에 위치되는 유기 ARC 를 에칭하기 위한 프로세스에서, 기판은 프로세스 챔버에 위치되어 플라즈마 처리된다. 플라즈마는 산소를 함유하는 가스와, 브롬 함유 화합물, 수소 및 요오드 함유 화합물, 및 그 혼합물로 이루어지는 화합물 그룹으로부터 선택되는 화합물로부터 생성된다. 프로세스 변수는 유기 ARC 의 이방성 에칭을 제공하도록 조절된다.
다른 에칭 프로세스에서, 반도체 기판 상에 위치되는 ARC 는, 산소, 질소, 및 하나 이상의 비활성 가스의 혼합물로부터 형성되는 플라즈마를 이용하여 에칭된다. 다른 방법에서, ARC층은, 비록 에칭 속도가 감속되더라도, 플라즈마 내에어떠한 산소없이 비활성 가스를 포함하는 질소 플라즈마에 의해 에칭될 수도 있다.
반도체 기판 하부에 위치되는 BARC층의 플라즈마 에칭의 다른 방법에서는, 아르곤 또는 다른 비활성 가스와 함께 HBr, CO2, 및 O2를 포함하는 플라즈마 프로세싱 가스로 제공되는 에칭 화학물을 이용한다.
전술한 프로세스 종류에 대한 보다 많은 정보는, Zhao 등의 1998년 1월 21일자 발행된 유럽 특허 공보 EP080093; Gupta 등의 1999년 6월 8일자 등록된 미국 특허 제 5,910,453 호; 및 Yang 등의 1998년 8월 19일자 유럽 특허 출원 EP 0 859 400 에서 알 수 있다.
통상, 웨이퍼에 대한 에칭 균일성이 우려되어 왔고, 유기 반사방지층의 에칭에 관련된 참조물에 대한, 선폭, 접속패드 치수 등의 에칭할 형성체의 임계 치수의 유지는 매우 중요하다. 또한, ARC층의 에칭 속도를 근접 재료층, 예컨대, ARC층 하부에 위치되는 실리콘 함유층의 에칭 속도와 비교하는 에칭 프로세스의 선택비가 중요하다.
에칭체의 임계 치수를 감소시키기에 가장 중요한 변수는 반도체 웨이퍼등의 기판에 대한 에칭체 임계 치수 균일성의 제어이다. 예를 들면, ARC층으로 에칭되는 패턴이 일련의 선과 간격이며, 이 선들 사이의 간격이 기판 표면 상의 상이한 위치에서 상이할 때, 기판 표면 상의 상이한 위치에서 ARC 에칭 속도가 다르게 된다. 이는 에칭 깊이에 영향을 줄 수 있고, 에칭할 형성체의 프로파일에 영향을 줄 수 있다. 또한, 이는 기판에 대한 임계 치수 균일성에 영향을 미칠 수 있다. 에칭된 형성체 사이의 간격의 함수에 따른 기판 표면 전체에 대한 전체 에칭 함수의 변화 현상을 종종 "마이크로로딩 (microloading)" 효과라 한다. 에칭 속도 및/또는 에칭체 프로파일의 차이는, 대부분 기판 표면 상으로의 소정의 에천트 종의 효용성이 변화하기 때문에 발생되며, 생성된 총 에칭 부산물양은 매우 쉽게 변화한다. 기판 표면에 대해 에천트 종과 부산 잔여물의 효용성이 변화하는 이유 중 하나는 프로세싱 가스의 투입 및 분배와 프로세싱 가스와 에칭 부산물의 제거가 종종 균일하지 않기 때문이다. 다른 이유는, 기판 표면 상의 상이한 위치에서의 패턴 형성체들 사이의 상이한 간격에 있다. 에칭 부산물은 2 가지 상이한 메커니즘에 의해 생성되는 경향이 있으며, 이는 1) 현저한 양의 패시베이팅 가스를 함유하는 에천트 가스 조성 (예를 들면, N2또는 탄소 함유 가스 등의 폴리머-생성 가스) 의 이용, 및/또는 2) 에칭시 에칭된 재료 형성체 측벽으로의 백-스퍼터링이다. 에천트 가스로 생성된 패시베이션층은, (1) 비교적 빈번하게 기판 표면 상에 형성체 측벽 상에 형성되려는 경향이 있다. 백-스퍼터링 패시베이션층은, (2) 에칭될 재료량이 기판의 조밀한 형성체 영역에서 보다 많기 때문에, 백-스퍼터링된 기판의 분리된 형성체 면적 상에 보다 많이 증착되려는 경향이 있다.
공동으로 소유되는, Shen 등의 계류중인 미국 특허 출원 제 09/611,085 호에는 기판 표면에 대해 임계 치수를 균일하게 제공하기 위한 ARC층의 플라즈마 에칭 프로세스가 개시되어 있다. ARC 에칭 프로세스에서는 CF4, HBr, 및 O2화학물이이용된다. 그러나, 이 프로세스는 1500 Å 두께의 BARC층의 에칭 동안 기판 표면에 대해 우수한 임계 치수 균일성을 제공하지만, 보다 두꺼운 (예를 들면, 2000 Å) BARC층의 에칭 시 기판 표면에 대한 임계 치수 균일성이 우수하지 못하다. 이는 두꺼운 BARC층을 에칭하기 위해 필요한 에칭 시간 증가로 인한 것으로 여겨진다. 따라서, BARC층의 에칭 두께 (> 1500 Å) 가 두꺼워질 때에도 기판 표면에 대해 우수한 임계 치수 균일성을 제공할 BARC층을 에칭하기 위한 프로세스가 제공되는 것이 필요하다.
본 발명은 유기 반사방지 코팅 (ARC, antireflection coating), 특히, 하부 반사방지 코팅 (BARC, bottom antireflection coating) 의 에칭 방법에 관한 것이다. 유기 ARC 는, 그 명칭에서 나타내는 바와 같이, 탄소 및 수소 함유 재료를 포함하며, 통상, 자연 상태에서는 폴리머이다. ARC 는 반도체 장치를 제조하기 위해 이용되는 에칭 적층체의 부분이고, 마이크론 미만의 치수로 패턴 에칭된다. 본 방법은 에칭체 크기의 임계 치수의 과도한 변화를 제어하면서, 기판 표면에 대한 에칭체 사이의 간격 변화에도 불구하고 반도체 기판의 표면 전체에 대해 균일한 ARC 에칭을 제공한다.
도 1a 는 여기서 설명되는 에칭 프로세스를 수행하기 위해 이용될 수 있는 종류의 바람직한 플라즈마 프로세싱 장치의 개략도이다.
도 1b 는 도 1a 에 나타낸 개략적인 프로세싱 장치에 대해 기판의 하부, 좌측, 중심, 우측, 및 상부 위치를 나타내는 기판 표면의 개략도이다.
도 2a 는 본 발명의 방법이 수행되는 종류의 시작 구조를 나타내는 에칭 적층체 (200) 를 보여준다. 에칭 적층체 (200) 는, 상부에서 하부로 설명하면, 포토레지스트의 패턴층 (210), ARC층 (208), 폴리실리콘층 (206), 및 실리콘 옥사이드 게이트 유전체층 (204) 을 포함하며, 모두 실리콘 기판 (202) 상에 증착된다. 막 적층 층들의 상대적인 두께는 일정한 비율로 나타내지 않았다.
도 2b 는 본 발명의 방법에 따른 메인에칭 단계 수행 후의 에칭 적층체 (200) 를 나타낸다. ARC층 (208) 의 전체 두께는 제 1 플라즈마 소오스 가스를이용하여 엔드포인트까지 에칭되었으며, 폴리실리콘층 (206) 의 상부 표면 (207) 이 노출되었다. 기판 표면의 특정 영역 상의 폴리실리콘층 표면 (207) 상에 잔존하는 ARC 재료가 위치된다.
도 2c 는 본 발명의 방법에 따른 오버에칭 단계의 수행 후 에칭 적층체 (200) 를 나타낸다. 잔존하는 ARC 재료는 폴리실리콘층의 어떠한 특별한 에칭없이 폴리실리콘층 표면 (207) 에서 제거되었다.
도 3 은 CF4, HBr, 및 O2의 플라즈마 소오스 가스가 유기 ARC층을 에칭하기 위해 이용되었을 때, 기판 표면 상의 다양한 위치에 있는 에칭체들 사이의 간격 (304) 함수에 따른 에칭체의 임계 치수의 변화 (302) 의 그래프 (300) 를 나타낸다.
도 4 는 CF4, HBr, 및 O2의 플라즈마 소오스 가스가 유기 ARC층을 에칭하기 위해 이용되었을 때, (기판의 조밀 및 분리 영역에서 측정된) BARC층의 두께 (404) 함수에 따른 에칭체의 임계 치수의 변화 (402) 의 그래프 (400) 를 나타낸다.
도 5 는 CF4, Cl2, 및 N2의 플라즈마 소오스 가스가 유기 ARC층을 에칭하기 위해 이용되었을 때, 기판 표면 상의 다양한 위치에 있는 에칭체들 사이의 간격 (504) 함수에 따른 에칭체의 임계 치수의 변화 (502) 의 그래프 (500) 를 나타낸다.
도 6 은 Cl2, O2및 Ar 의 플라즈마 소오스 가스가 유기 ARC층을 에칭하기 위해 이용되었을 때, 기판 표면 상의 다양한 위치에 있는 에칭체들 사이의 간격(604) 함수에 따른 에칭체의 임계 치수의 변화 (602) 의 그래프 (600) 를 나타낸다.
도 7 은 CF4및 Cl2의 메인에칭 화학물과 Cl2, O2및 Ar 의 오버에칭 화학물이 유기 ARC층을 에칭하기 위해 이용되었을 때, 기판 표면 상의 다양한 위치에 있는 에칭체들 사이의 간격 (704) 함수에 따른 에칭체의 임계 치수의 변화 (702) 의 그래프 (700) 를 나타낸다.
도 8 은 여기에서 설명되는 에칭 프로세스를 수행하기 위해 이용될 수 있는 종류의 장치의 다른 예인 Applied Materials 의 MXP+ 폴리실리콘 에칭 챔버의 개략도이다.
본 발명은 유기 코팅층, 특히, ARC층을 에칭하는 방법을 포함한다. 이 방법은 기판 표면에 대해 향상된 에칭체의 임계 치수 균일성을 제공함과 동시에, 하위 실리콘 함유층보다 ARC층의 에칭이 보다 유리한 선택비를 제공한다. 본 발명은 보다 두꺼운 (> 1500 Å) 유기 코팅층의 에칭 시에도 우수한 임계 치수 균일성을 제공하는 것으로 나타난다.
발명자는, 기판 표면 상의 에칭체들 사이의 간격이 상이하더라도, 기판 표면 (CD 변화 범위) 에 대한 임계 치수 균일성과 함께, 에칭체 임계 치수에 대해 예상하지 못한 제어를 제공하는 유기 코팅층을 에칭하기 위한 방법을 개발하였다.
유기 코팅층을 에칭하기 위하여 2 단계 방법이 이용된다. 이 방법의 제 1 단계는 유기 코팅층의 전체 두께가 실질적으로 엔드포인트 (endpoint) 까지 에칭되는 동안의 메인에칭 (main etching) 단계이다. 메인에칭은, 플루오로카본 가스와, 탄소를 함유하지 않는 할로겐 함유 가스를 포함하는 제 1 소오스 가스로부터생성되는 플라즈마를 이용한다.
이 방법의 제 2 단계는 메인에칭 단계 이후 형성체 표면 상에 잔존하는 층 재료를 제거하는 동안의 오버에칭 (over etching) 단계이다. 오버에칭 단계는, 염소 함유 가스, 산소 함유 가스, 및 비활성 가스 (즉, 희가스) 를 포함하는 제 2 소오스 가스로부터 생성된 플라즈마를 이용한다. 이 화학물은 하위 실리콘 함유층에 대해 우수한 유기 코팅층을 코팅하기 위한 선택비 (> 20 : 1) 을 제공한다. 오버에칭 동안 기판을 바이어스하기 위해 인가되는 전력은 메인에칭 단계에서 기판을 바이어스하기 위해 인가되는 전력보다 작다.
선과 간격의 패턴에 대한 (실시예에 의해 수행되지만 이로 한정하려는 것은 아님), 메인 에칭 단계에 이용되는 에칭 화학물 및 프로세스 조건은, (형성체가 서로 보다 근접하여 이격되는) 조밀한 영역에서 (형성체가 보다 멀리 이격되는) 분리된 영역의 에칭된 선폭보다, 보다 좁게 에칭된 선폭을 생성한다. 통상, 조밀한 영역과 분리된 영역 모두의 에칭된 선폭은 (ARC 와 같은) 유기 코팅층을 패턴화하기 위해 이용되는 포토레지스트의 선폭보다 좁다. 목표 선폭에서 감소된 선폭을 "음의 CD 변화" 또는 "CD 손실" 이라 한다. 즉, 메인에칭 동안에는 분리된 영역보다 조밀한 영역에서 음의 CD 변화가 보다 크다. 기판에 대한 음의 CD 변화를 "CD 변화 범위" 라 한다.
전술한 바와 같이, 분리된 영역에서 에칭될 총 재료량은 조밀한 영역보다 크다; 따라서, 에칭 동안, 보다 많은 폴리머릭 재료가 재증착되고, 분리된 형성체 측벽 상에 형성된다. 이 폴리머릭 재료는 측벽 에칭을 억제하고 분리된 형성체의에칭 속도를 감속시키는 패시베이션층을 형성한다. 또한, 메인에칭 단계에서 이용되는 화학물은 CF4를 포함하여, 에칭시 분리된 형성체 측벽 상으로의 패시베이션층 형성에 기여하는 폴리머를 형성한다.
오버에칭 단계에서 이용되는 에칭 화학물 및 프로세스 조건은 조밀한 영역의 음의 CD 변화보다 큰 분리된 영역의 음의 CD 변화를 생성한다. 이는 메인에칭 단계 시 관찰되는 효과와 반대된다. 메인 에칭 단계에서 대부분의 유기 코팅층 재료가 이미 에칭되었기 때문에, 오버에칭 단계에서는 폴리머 증착에서 기인되는 분리된 영역에서의 패시베이션층의 적층 (build-up) 이 메인에칭 단계에서와 같이 더이상 큰 인자가 아니다. 또한, 오버에칭 단계에 이용되는 에칭 화학물은 폴리머-생성용 (패시베이팅) 플라즈마 소오스 가스를 포함하지 않는다.
다른 중요한 프로세싱 변수는 오버에칭 단계에 대한 기판 바이어스 전력과 메인에칭 단계에 이용되는 기판 바이어스 전력이다. 메인에칭 단계보다 오버에칭 단계에서 기판에 인가되는 전력이 보다 낮다. 그 결과, 오버에칭 단계 시 기판 표면을 향하는 직접적인 에천트종에 대한 구동력이 작게 되어, 에칭 속도가 감속된다. 조밀한 영역의 형성체들 사이의 간격의 감소 (애스펙트 비의 증가) 는, 노출된 에칭된 측벽 형성체가 반응성 종과 충돌하는 것을 방지하는 경향이 있기 때문에, 이 영향은 분리된 영역에서보다 조밀한 형성체 영역에서 보다 확실하다. 따라서, 오버에칭 단계의 적은 기판 바이어스의 인가와 에칭 화학물과의 결합은, 오버에칭 시, 조밀한 영역보다 분리된 영역에 보다 빠른 에칭을 제공한다.즉, 메인에칭 단계가 오버에칭 단계와 결합할 때 균형있는 효과를 나타낸다. 이 균형있는 효과는 CD 변화 범위를 감소시킨다.
요약하면, 본 발명은 기판 표면에 대한 에칭 균일성을 달성하기 위해 각각 서로에 대해 보완성을 갖고 상이한 메커니즘의 잇점을 갖는 복수개의 에칭 단계를 이용한다. 따라서, 반도체 기판의 조밀한 영역 및 분리된 영역의 에칭이 균형있게 하기 위해, 메인에칭과 오버에칭 단계에서 이용되는 에칭 화합물과 기판 바이어스 전력의 결합을 이용하는, 반도체 기판 상의 유기 코팅층의 에칭 방법이 여기에 개시된다.
본 개시는 ARC층 등의 유기 코팅층을 에칭하기 위한 2 단계 에칭 방법을 제공한다. 본 방법은 기판 표면에 대해 형성체들 사이의 간격 변화에도 불구하고 기판 표면에 대해 우수한 CD 균일성을 제공한다.
본 방법의 제 1 단계는 유기 코팅층의 전체 두께를 실질적으로 엔드포인트까지 에칭하는 동안인 메인에칭 단계이다. 이 메인에칭은 플루오로카본 가스와 카본을 함유하지 않은 할로겐 함유 가스를 포함하는 제 1 소오스 가스로부터 생성되는 플라즈마를 이용한다. 통상, 플로오로카본 가스는 CF4이지만, 다른 방법에서는, CHF3, CH2F2, C2F6, C4F8또는 그 화합물이 될 수도 있다. 탄소를 함유하지 않은 할로겐 함유 가스는 통상 Cl2이지만, 다른 방법으로, 예를 들면 HBr, HCl,또는, Cl2, HBr 및/또는 HCl 의 화합물이 될 수도 있다.
특히, CF4및 Cl2를 포함하는 제 1 소오스 가스가 적절하다. 통상, 제 1소오스 가스 내의 CF4: Cl2부피비는 약 1 : 1 에서 약 6 : 1 까지, 바람직하게는, 약 2 : 1 에서 약 5 : 1 까지이다. 필요하다면, N2또는 HBr 등의 패시베이팅 가스 소량이 CF4/Cl2소오스 가스 조성에 포함될 수 있다.
다른 방법으로, 본 발명의 덜 바람직한 실시형태에서는, CF4, HBr, 및 O2를 포함하는 제 1 소오스 가스가 메인에칭 단계를 수행하기 위해 이용된다. 메인에칭 플라즈마 소오스 가스들의 화합물은 CF4/Cl2소오스 가스 조성에 비해 음의 CD 변화의 보다 큰 증가를 발생시킨다.
통상, 약 30 W 내지 약 90 W 범위의 기판 바이어스 전력이 메인에칭 단계 동안 이용된다.
메인에칭 단계 시 이용되는 에칭 화학물 및 프로세스 조건은 반도체 기판의 분리된 형성체 영역보다 조밀한 형성체 영역에 보다 빠른 에칭 속도를 제공한다.
본 방법의 제 2 단계는 메인에칭 단계 이후 형성체 표면 상에 잔존하는 잔존 코팅층 재료를 제거하는 오버에칭 단계이다.
오버에칭 단계는 염소 함유 가스, 산소 함유 가스, 및 비활성 가스 (즉, 희가스) 를 포함하는 제 2 소오스 가스로부터 생성되는 플라즈마를 이용한다. 통상, 염소 함유 가스는 Cl2이다. 염소 함유 가스는 통상 Cl2이지만, 다른 방법에서, 예를 들면, HCl 일 수도 있다. 통상, 산소 함유 가스는 O2이며, He/O2(여기서, O2는 He/O2혼합물의 약 30 vol% 이다) 로 제공될 수 있다. 통상, 비활성 가스는 아르곤이지만, 다른 방법에서는, 예를 들면, 헬륨, 네온, 크세논, 크립톤의 상이한 희가스 (noble gas) 가 될 수도 있으며, 또는, 희가스의 화합물이 될 수도 있다. 통상, 제 2 소오스 가스에서 Cl2: O2의 부피비는 약 2 : 3 에서 약 3 : 1 까지이고, 바람직하게는, 약 1 : 1 에서 약 3 : 1 까지이다. 또한, 제 2 소오스 가스 내에서의 아르곤은 통상 약 20 vol% 에서 약 80 vol% 까지의 범위이며, 바람직하게는, 약 30 vol% 에서 약 50 vol% 까지이다.
오버에칭 단계에서 이용되는 기판 바이어스 전력은 메인에칭 단계에서 이용되는 전력보다 낮다. 오버에칭 기판 바이어스 전력이, 메인에칭 단계에서 이용되는 기판 바이어스 전력의 80 % 미만, 바람직하게는, 70 % 미만일 때 특히 바람직하게 동작한다.
유기 ARC층은, 반도체 구조의 부분으로, 이 ARC층은 대부분 상부에 게이트 유전체층이 위치되는 폴리실리콘 등의 실리콘 함유 재료 층 상에 위치된다. ARC층의 현저한 오버에칭 및 하위 폴리실리콘층의 피팅 (pitting) 을 회피하기 위해, 제 2 소오스 가스는 유기 코팅층을 에칭하기 위해 폴리실리콘에 대해 10 : 1 이상의 선택비를 제공하는 것이 바람직하다 (통상, 용어 "선택비" 는 2가지 재료의 에칭 속도의 비를 표현하기 위해 이용된다). 피팅은 연속의 폴리실리콘층 에칭 동안 하위 유전체층으로 직접 이동하여 얇은 유전체층에 펀치 쓰루 (punchthrough) 를 유발할 수도 있기 때문에, 폴리실리콘층의 피팅을 회피하는 것이 중요하다.
메인에칭 단계에 이용되는 화학물과 프로세스 조건은 분리된 형성체 영역보다 보다 큰 음의 CD 변화를 생성한다. 이 오버에칭 화학물 및 프로세스 조건은 기판 상에서 조밀한 형성체 영역보다 고립 형성체 영역에 보다 많은 음의 CD 변화를 생성한다. 즉, 메인에칭 및 오버에칭은 상호 반작용하여, 기판 표면에 대해 감소된 CD 변화 범위를 유발하고, 기판 표면에 대해 향상된 임계 치수 균일성을 제공한다.
상세한 설명의 서문에서, 명세서 및 첨부된 특허청구범위에서 사용되는 단수 "a", "an", 및 "the" 는 문맥에서 명확하게 별도로 언급되지 않는 한 복수개의 지시 대상을 포함한다.
Ⅰ. 본 발명을 실시하기 위한 장치
여기서 설명되는 본 실시형태의 예시적인 에칭 프로세스는 California, Santa Clara 소재, Applied Materials, Inc. 의 상업적으로 이용 가능한 Centura®Integrated Processing System 에서 수행된다. 이 시스템은 Collins 등의 미국 특허 제 5,583,737 호에서 설명되며, 그 개시가 여기에 참조로서 포함된다. 여기서 나타낸 예에서 설명되는 기판을 처리하기 위해 이용되는 에칭 프로세스 챔버는 도 1 에 개략적으로 나타나 있으며, 산업에서 이용가능한 어떠한 에칭 프로세서도 다른 프로세스 파라미터를 조절함으로써 여기서 설명되는 에칭 화학물의 잇점을 가질 수 있다.
도 1 의 개략도에 나타난 장치는, 1996년 5월 7일, 제 11 회 플라즈마 프로세싱 국제 심포지움 학회지에서 설명되고 Electrochemical Society Proceedings, Voulume 96-12, pp. 222-233 (1996) 에 발행된 DPS (decouple plasma source) 를 포함한다. 도 1 은 Applied Materials 의 Centura® DPSTM 폴리실리콘 에칭 챔버로 이용되는 타입의 개별 Centura® DPSTM폴리실리콘 에칭 챔버 (102) 의 개략도이다. Centura® DPSTM폴리실리콘 에칭 챔버 (100) 는 표준형 Centura® 에칭 메인프레임 상에 고정되도록 구성된다.
Centura® DPSTM폴리실리콘 에칭 챔버 (100) 는 세라믹 돔 (106) 과 하부 챔버 (108) 로 이루어지는 상부 챔버 (104) 를 포함한다. 하부 챔버 (108) 는 단극형 ESC (electrostatic chuck) 캐소드 (110) 를 포함한다. 균일한 가스 분배를 위한 가스 주입 노즐 (114) 을 통해 챔버에 가스가 주입된다. 챔버 압력은 쓰로틀 밸브 (throattle valve; 118) 를 갖는 폐쇄형 루프 압력 제어 시스템 (미도시) 에 의해 제어된다. 프로세스 동안, 기판 (120) 이 입구 (122) 를 통해 하부 챔버 (105) 로 투입된다. 기판 (120) 은, 척 표면 상의 절연막 하부에 위치되는 전도층에 DC 전압을 인가함으로써 ESC 캐소드 (110) 의 표면상에 생성된 정전하에 의해 위치에 고정된다. 캐소드 (110) 와 기판 (120) 은 웨이퍼 리프트 (124) 에 의해 상승되고, 프로세스를 위한 위치에서 상부 챔버 (104) 에 대한 봉합이 형성된다. 에칭 가스가 세라믹 가스 주입 노즐 (114) 을 통해 상부 챔버(104) 에 주입된다. 폴리실리콘 에칭 챔버 (100) 는, 고 밀도 플라즈마를 생성하고 유지하기 위해 유도 코일 (134) 에 접속되는 12.56 MHZ 에서 동작하는 매칭 네트워크 (128) 와 유도 결합된 플라즈마 소오스 전력 (126) 을 이용한다. 웨이퍼는 13.56 MHZ 에서 동작하는 매칭 네트워크 (132) 와 RF 소오스 (130) 에 의해 바이어스된다. 플라즈마 소오스 (126) 로의 전력 및 기판 바이어싱 수단 (130) 은 개별 제어기 (미도시) 에 의해 제어된다.
에칭 챔버벽 표면 상의 온도는 에칭 챔버 (100) 의 벽에 위치되는 액체 함유 도관 (미도시) 을 이용하여 제어된다. 반도체 기판의 온도는 기판 (120) 이 위치되는 정전기적 척 캐소드 (110) 의 온도를 안정화함으로써 제어된다. 헬륨 가스가 기판과 지지대 사이의 열 전달을 촉진하기 위해 이용된다. 에칭 프로세스 동안, 기판 (120) 표면은 풀라즈마에 의해, 프로세스 조건에 따라 기판 지지 플레이튼 온도보다 약 10 ℃ 내지 40 ℃ 높은 안정적인 상태까지 단계적으로 가열된다. 기판 표면 온도는 대부분의 실험에서 통상 40 ℃ 내지 85 ℃ 정도인 것으로 추정된다. 에칭 챔버 (100) 의 표면은 이미 설명했던 냉각 도관을 이용하여 약 65 ℃ 에서 유지되었다. 세라믹 돔 (106) 은 약 80 ℃ 의 온도에서 유지되었다.
상이한 재료와의 계면에 에칭이 도달됨으로써 에칭의 엔드포인트를 알릴 때, 엔드포인트 서브시스템 (미도시) 는 에칭 챔버 (100) 의 플라즈마에 의해 방출된 광 변화를 모니터링함으로써 에칭 프로세스의 종료를 감지한다. 다음으로, 데이터가 PC 모니터 상에 표시된다. 오퍼레이터는 엔드포인트 시스템을 제어하는알고리즘을 설정한다.
도 1b 는 기판 (120) 의 하부 (142) 에 위치되는 노치 (152) 를 중심으로 하여, 기판 (120, 이 경우, 실리콘 웨이퍼) 상의 하부 (142), 좌측 (144), 중심 (146), 우측 (148) 및 상부 (150) 표면 위치들을 포함하는 기판 (120) 표면 (140) 의 개략도를 나타낸다. 이러한 표면 위치들은, 도 1b 에 나타낸 프로세싱 장치 (100) 의 개략도에 대해 나타낸 것으로, 여기서, 화살표 (154) 는 진공 쓰로틀 밸브 (118) 를 향하는 방향을 나타내며, 화살표 (156) 는 입구 (122) 를 향하는 방향을 나타내고, 기판 (120) 은 정전기적 척 (110) 의 상부 표면 (158) 상에 위치되는 것으로 나타난다.
다른 방법으로, 본 발명의 방법은 플라즈마 생성 소오스에 대한 전압과 기판 바이어싱 수단에 대한 전압이 Applied Materials 의 MXP 또는 MXP+ 폴리실리콘 에칭 챔버 등의 단일 전압 제어로 제어되는 에칭 프로세싱 장치에서 수행될 수 있다. 도 8 은 Applied Materials 의 MXP+ 폴리실리콘 에칭 챔버의 개략도이며, 본 분야에서 공지된 종류의 병렬식 플레이트 플라즈마 에칭 챔버이다. MXP+ 폴리실리콘 에칭 챔버는 간단한 2-차원 가스 분배 플레이트 (802) 를 포함하는 다른 유사한 에칭 챔버 이상의 잇점을 제공하여, 보다 균일한 가스 분배가 챔버를 통해 이루어지도록 한다. 다른 변형예로서, 제거가능한 알루미늄 챔버 라이너 (804) 가 있으며, 이는 각각의 습식 세정 공정 시 용이하게 제거 및 교체될 수 있으므로, 보다 신속하게 세정 사이클이 이루어진다. 또 다른 변형예로서, 개선된 포커스 링 (806) 이 있으며, 이는 (독립적이기 보다는) 캐소드 (808) 와 함께 이동하여, 장치내부로의 보다 적은 이동 부품으로 인해 입자 생성이 감소되도록 한다. 고온 캐소드 (808) 는 독립적인 온도 제어기 (미도시) 를 가지며, 이는 지지대 온도 프로브 (812) 로부터 판독되는 온도에 응답하여 기능함으로써, 프로세스 챔버 온도를 초과하는 온도에서 동작을 허용한다. 처리될 기판 (미도시) 은 정전기적 척 지지대 (810) 상에 위치되어, 캐소드 (808) 와 연결된다.
Ⅱ. 유기 ARC층을 에칭하기 위한 본 발명의 방법
본 발명의 방법을 수행하기 이전에, 먼저, 초기 에칭 적층체가 제공되어야 한다.
도 2a 는 본 발명의 방법이 수행되는 종류의 초기 구조를 표현하는 에칭 적층체 (200) 를 나타낸다. 이 패턴은 선들과 간격들 중 하나이며, 도 2a 에서 이러한 선들과 간격을 개략적인 단면도로 나타낸다.
에칭 적층체 (200) 는 상부에서 하부로 볼 때 다음의 층, 포토레지스트의 패턴화된 층 (210), 유기 ARC층 (208), 실리콘 함유 층 (206), 및 게이트 유전체층 (204) 을 포함하며, 모두 기판 (202) 상에 증착된다.
포토 레지스트층 (210) 의 두께 및 패터닝 방법은 이용되는 특정 포토레지스트 재료와 포토레지스트로 이미지화되는 패턴에 따라 의존한다. 포토레지스트층 (210) 은 공지된 어떠한 적절한 포토레지스트 재료일 수도 있다. 통상, 포토레지스트는 유기, 탄소 함유 재료이다. 종종, DUV 포토레지스트를 이용하여 기판에 패턴을 전달한다. 이러한 DUV 포토레지스트에 대한 통상의 막 두께는약 4000 Å 에서 6000 Å 까지의 범위이다. 이하의 실시예에서 에칭되는 초기 에칭 적층체에서, 포토레지스트 (210) 는 약 6000 Å 의 두께를 갖는 DUV 포토레지스트이었다. DUV 포토레지스트는, 예를 들면, JSR®또는 SHIPLEY®, INC. 로부터 이용가능하지만, 이들로 한정되는 것은 아니다.
종종, DUV 포토레지스트를 이용하는 경우 포토레지스트 이미징 프로세스 동안의 통상의 정재파 및 백-스캐터링된 광을 감소시키기 위해 ARC층이 필요하다. 유기 ARC 는 포토레지스트와 보다 큰 융화성을 갖기 때문에 몇몇 경우에 바람직하다. 유기 ARC층 (208) 은 핀-온 기술 등의 공지된 통상의 방법을 이용하여 공지된 어떠한 유기 ARC 재료에서도 형성될 수 있다. 통상, 유기 ARC층 (208) 은 약 300 Å 내지 약 3000 Å 범위 이내의 두께를 갖는다. 아래의 실시예에서 에칭되는 초기 에칭 적층체에서, 유기 ARC층 (208) 은 약 2000 Å 의 두께를 가졌다.
통상, 실리콘 함유층 (206) 은 폴리실리콘 또는 아모퍼스 (amorphous) 실리콘이다. 원한다면 (낮은 저항 게이트 구조의 제공 등), 실리콘 함유층 (206) 이 도핑될 수도 있다. 통상, 실리콘 함유층 (206) 은 약 1500 Å 내지 약 3000 Å 의 범위 이내의 두께를 갖도록 형성된다. 이하의 실시예에서 에칭되는 초기 에칭 적층체에서, 실리콘 함유층 (206) 은 폴리실리콘이었으며, 공지된 CVD 기술을 이용하여 증착되었다. 폴리실리콘층 (206) 은 약 2000 Å 의 두께를 가졌다.
하부의 위치되는 폴리실리콘층 (206) 은 얇은 게이트 유전체층 (204) 이며, 통상, 예컨대, 실리콘 옥사이드 (silicon oxide), 실리콘 옥시니트라이드 (silicon oxynitride), 또는 탄탈륨 펜톡사이드 (tantalum pentoxide) 와 같은 무기 옥사이드이다. 다른 방법으로, 게이트 유전체층은, 예를 들면, 폴리(아릴렌)에스테르 (poly(arlene)ether), 폴리(아릴렌)에스테르 옥사졸 (poly(arylene)ether oxazole), 파릴렌-N (parylene-N), 폴리이미드 (polyimide), 폴리나프탈렌-N (polynaphthalene-N), 폴리페닐-퀴녹살라인 (polyphenyl-quinoxaline), 폴리벤족사졸 (polybenzoxazole), 폴리인데인 (polyindane), 폴리노보렌 (polynorborene), 폴리페닐렌옥사이드 (polyphenyleneoxide), 또는 αC 등의 유기 유전체일 수도 있다.
통상, 실리콘 옥사이드 게이트 유전체층 (204) 은 약 15 Å 내지 약 100 Å 범위 이내의 두께를 갖도록 형성된다. 상이한 전기적 특성을 갖는 다른 재료가 상이한 두께로 도포될 수 있다. 예를 들면, 이하의 실시예에서 에칭되는 초기 에칭 적층체에서, 게이트 유전체층 (204) 은 실리콘 옥사이드이었으며, 약 60 Å 의 두께를 가졌다. 탄탈륨 펜톡사이드를 이용할 때, 게이트 유전체층의 두께는 실리콘 옥사이드를 이용할 때보다 얇을 수 있다. 통상, 이러한 게이트 유전체층들은 열 산화 또는 PECVD (plasma enhanced chemical vapor deposition) 등의 공지된 통상의 방법을 이용하여 형성 또는 증착된다.
통상, 기판 (202) 은 실리콘이지만, 다른 방법으로, 예를 들면, 글라스 또는 SOI (silicon on insulator) 일 수도 있다. 기판이 실리콘일 때, 실리콘 함유층 (206) 이 폴리실리콘 또는 아모퍼스 실리콘 둘 다일 수도 있다. 그러나, 폴리실리콘을 형성하기 위해서는 하위 실리콘 기판이 시드층 (seed layer) 으로서 필요하기 때문에, 글라스 기판이 이용되면, 실리콘 함유층 (206) 은 아모퍼스 실리콘이어야 한다. 아모퍼스 실리콘은 통상의 PVD 또는 CVD 기술을 이용하여 증착될수 있다.
본 발명의 방법에 따르면, 메인에칭 단계는, 도 2b 에 나타낸 바와 같이, 유기 ARC층 (208) 의 전체 두께를 통해 에칭하여, 폴리실리콘층 (206) 의 상부 표면 (207) 을 노출하기 위해 수행된다. 메인에칭 단계는 CF4및 Cl2를 포함하는 소오스 가스로부터 생성되는 플라즈마를 이용하는 것이 바람직하다. 필요하다면, N2등의 패시베이팅 가스의 소량이 제 1 플라즈마 소오스 가스에 포함될 수도 있다.
다른 방법으로, 본 발명의 덜 바람직한 실시형태에서, CF4, HBr, 및 O2를 포함하는 제 1 소오스 가스는 메인에칭 단계를 수행하기 위해 이용될 수 있다. 이 화학물과 함께 이용하는 적절한 프로세스 조건은 전체가 여기에 참조로서 포함되는 Shen 등의 계류중인 미국 특허 출원 제 09/611,085 호에 상세하게 설명된다.
메인에칭 단계의 엔드포인트는 4390 Å 파장에서 OES (optical emission spectroscopy) 엔드포인트 검출 시스템을 이용하여 검출된다.
도 2c 를 참조하면, 오버에칭 단계가 수행되어, 메인에칭 단계의 완료시 폴리실리콘층 표면 (207) 상에 잔존하는 ARC 재료가 제거된다. 오버에칭 단계에서 이용되는 플라즈마 소오스 가스는, 피팅 포텐셜에 의해 폴리실리콘층 (206) 의 표면이 현저하게 오버에칭되는 것을 회피하기 위해, 유기 ARC층 (208) 을 에칭하기 위해 폴리실리콘층 (206) 에 대해 10 : 1 이상의 선택비를 제공하는 것이 바람직하다. 폴리실리콘층 (206) 의 표면 (207) 이 피팅되는 경우, 이 피팅은 하위 게이트 유전체층 (204) 으로 전달할 수도 있다. 염소 함유 가스, 산소 함유 가스, 및 비활성 가스 (즉, 희가스) 에서 형성된 플라즈마가 유기 ARC 재료를 에칭하기 위해 폴리실리콘에 대해 20 : 1 이상의 선택비를 제공한다는 것을 알아내었다.
메인에칭 및 오버에칭 단계를 위한 통상의 프로세스 조건 범위가 아래의 [표 1] 에 제공된다.
Ⅲ. 예
이하의 예에서 설명되는 실험은, 6000 Å DUV 포토레지스트층 (210, 미리 패턴화됨), 2000 Å 유기 ARC층 (208), 200 Å 폴리실리콘층 (206), 및 60 Å 실리콘 옥사이드 게이트 유전체층 (204) 을 포함하고 모두 실리콘 기판 (202) 상에 증착된 도 2a 에 나타낸 에칭 적층체를 이용하여 수행되었다. 에칭 적층체는 전술한 바와 같이 공지된 통상의 기술을 이용하여 준비되었다.
제 1 비교예
CF4, HBr, 및 O2의 플라즈마 소오스 가스를 이용하여 ARC층 (208) 이 에칭되었다. 가스 유랑 및 바이어스 전력 등의 프로세스 조건은 실험에 따라 변화되었다. 또한, 작업 # 3 에서 이용되는 플라즈마 소오스 가스는 N2을 포함하였으며, 작업 # 4 에서 이용되는 플라즈마 소오스 가스는 (질소가 아닌) 아르곤을 포함하였다.
[표 2] 는 실험적인 프로세스 조건과 ARC층의 에칭 시 생성되는 임계 치수 데이터를 제공한다.
[표 2] 를 참조하면, 용어 "CD 변화 (CD shift)" 는 에칭된 ARC 의 선폭과 ARC를 패턴화하기 위해 이용되는 포토레지스트의 선폭간의 차이로 한다. 즉, 양의 CD 변화는 에칭된 CD 가 에칭 이전의 패턴 CD 보다 크다는 것을 나타낸다. 음의 CD 변화 (또는, "CD" 손실) 는 에칭된 CD 가 에칭 이전의 패턴 CD 보다 작은 것을 나타낸다. "평균 CD 변화" 는 기판 상의 다양한 위치와 다양한 형성체 간격 (조밀 대 분리) 에서의 CD 변화 측정 평균을 나타낸다.
"CD 변화 범위" 는 가장 높게 측정된 CD 변화와 가장 낮게 측정된 CD 변화사이의 차이를 나타내며, 기판 표면 전체에 대한 CD 균일성의 표시이다. (CD 변화 범위로 나타낸) CD 변화의 변동은 지형적 요인 (즉, 기판 표면 상의 위치) 또는 통계상의 요인 (즉, 에칭할 선 사이의 간격) 모두에서 기인된 것이다. 최소 CD 변화를 갖는 것을 크게 소망함과 동시에, CD 변화가 상이한 기판 위치와 상이한 형성체 간격 사이에서 일관성을 갖는다는 것은 중요하다. CD 변화 (즉, 에칭된 ARC의 선폭과 ARC를 패터닝하기 위해 이용되는 포토레지스트의 선폭 사이의 차이) 가 낮으면, 에칭이 기판 표면에 대해 일관성있게 이루어진 것을 의미하며, 에칭 프로세스가 CD 변화에 대해 보정되도록 조절될 수 있다. 그러나, 에칭 프로세스가 기판 표면에 대해 보완적이지 못하다는 것을 의미하는 CD 변화의 높은 변동을 보완하는 것은 매우 어렵다.
도 3 은 작업 # 1에 대한 에칭체들 사이의 거리 함수에 따른 에칭체의 임계 치수 변화의 그래프 (300) 를 나타낸다. 선 및 간격의 일 패턴으로 에칭된 선에 대한 임계 치수 (㎛) 를 축 (302) 상에 나타낸다. 임계 치수의 변화를 축 (304) 상의 선들 (㎛) 사이의 간격 함수에 따라 나타낸다. 그래프 상에는 4 개의 플롯이 있으며, 도 1b 에 따라 설명하면, 플롯 (306) 은 기판 상의 하부 위치를 나타내고, 플롯 (308) 은 기판의 좌측 상의 위치를 나타내며, 플롯 (310) 은 그래프 상의 중심 위치를 나타내고, 플롯 (312) 은 기판 상의 상부 위치를 나타낸다.
그래프 (300) 는 기판 표면 상의 위치에 기인하는 임계 치수의 변화와 에칭된 선들 사이의 간격의 차이에 기인하는 임계 치수 변화를 알 수 있도록 한다. 도 3 을 참조하면, 간격의 차이로 인한 CD 변화가 기판 표면 상의 위치로 인한 CD변화보다 매우 크다는 것을 쉽게 알 수 있다. 약 0.015 ㎛ (측정값 : 14.8 nm) 의 CD 변화 범위에 대해, 가장 큰 CD 변화는 약 -0.050 ㎛ 로 나타나며, 가장 낮은 CD 변화는 약 -0.035 ㎛ 이다. 평균 임계 치수 변화는 -44.4 nm 이다. 기판의 조밀한 영역 (즉, 보다 작은 간격 폭을 갖는 영역) 에서 CD 손실이 보다 큰 경향이 있다.
반도체 장치 구조의 크기 감소로 인해, 장치 제조자는 약 10 nm (0.01 ㎛) 미만의 기판 (CD 균일도) 에 대한 임계 치수 변화 변동 (CD 변화 범위) 를 소망한다. 또한, 30 nm (0.03 ㎛) 미만의 평균 CD 변화를 소망한다. [표 2] 에 나타낸 바와 같이, 플라즈마 소오스 가스 조성과 작업 # 1-6 에 이용되는 프로세스 조건의 결합은 소망하는 평균 CD 변화와 CD 변화 범위를 제공하지 못하였다. 사실상, 소망의 CD 변화 범위와 만족스러운 평균 CD 변화 범위 사이에서 균형을 이루는 것으로 나타난다. 평균 CD 변화가 감소됨에 따라, (CD 변화 범위로 나타낸) 기판에 대한 CD 균일성은 악화되었다 (작업 # 5 참조). CD 변화 범위가 비교적 낮게 되었을 때 (만족스러운 균일성을 나타낼 때), 평균 CD 변화는 만족스럽지 못하게 높았다 (작업 # 2 참조).
도 4 는 작업 # 1에 대한 BARC층의 두께 함수에 따른 에칭체의 임계 치수 변동의 그래프 (400) 를 나타낸다. 선들과 간격의 일 패턴으로 에칭된 선에 대한 임계 치수의 변화 (㎛) 를 축 (402) 상에 나타낸다. 임계 치수의 변화를 BARC층 (Å) 의 두께 함수에 따라 축 (404) 상에 나타낸다. 그래프 상에는 2 개의 플롯이 있으며, 플롯 (406) 은 기판 상의 분리된 선을 나타내며, 플롯 (408) 은 선들의 조밀한 어레이를 나타낸다. 도 4에 나타낸 바와 같이, BARC층이 에칭될 때 CD 변화는 만족스러웠다 (≤0.03 ㎛). 그러나, BARC층의 두께가 1500 Å 이상으로 증가됨에 따라 기판의 조밀한 영역과 분리된 영역의 CD 변화가 증가되었다. 이는 보다 두꺼워지는 BARC층을 통해 에칭하기 위해 필요한 에칭 시간이 길어짐에서 기인된 것으로 보인다. 또한, BARC층 두께의 증가에 따라, 분리된 선 (406) 과 조밀한 선 (408) 사이의 차이가 증가하며, 이는 CD 변화 범위가 악화되는 것을 나타낸다.
제 2 예
플라즈마 CF4, HBr, 및 O2플라즈마 소오스 가스를 이용한 BARC 에칭에서 획득되는 임계 치수 데이터를 재검토하면서, 보다 두꺼운 (즉, 1500 Å 초과) BARC층을 에칭할 때 만족할스러운 CD 변화 및 CD 균일성을 제공하는 새로운 BARC 에칭 프로세스가 필요하였다는 것을 알 수 있었다.
도 2a 에 나타내고 설명한 에칭 적층체의 ARC층 (208) 은 CF4, Cl2, 및 N2의 플라즈마 소오스 가스를 이용하여 에칭되었다. 플라즈마 소오스 전력과 바이어스 전력과 같은 프로세스 조건을 실험마다 변화시켰다. 또한, 작업 # 13 에서 이용되는 플라즈마 소오스 가스는 아르곤을 포함하였으며, 작업 # 12 동안 이용되는 플라즈마 소오스 가스는 N2를 포함하지 않았다.
[표 3] 은 실험 프로세스 조건 및 ARC층의 에칭시 생성된 임계 치수 데이터를 제공한다.
[표 3] 에 나타낸 바와 같이, 작업 # 7-14 의 플라즈마 소오스 가스 조성과 프로세스 조건의 결합은 소망의 CD 변화 범위 (≤10 nm) 와 만족스러운 평균 CD 변화 (≤30 nm) 모두를 제공하지 못하였다. 또한, 작업 # 1-6 에서 관찰되었던 것과 같이, 평균 CD 변화 및 CD 변화 범위 사이의 평형이 나타났다. 평균 CD 변화 (CD 변화 범위로 나타냄) 가 감소됨에 따라, CD 균일성은 악화되었다 (작업 # 10 참조). CD 변화 범위가 비교적 낮게 되면 (만족스러운 균일성을 나타내면), 평균 CD 변화는 만족스럽지 못하게 높았다 (작업 # 14 참조).
도 5 는 작업 # 14 에 대해 에칭체들 사이의 간격 함수에 따른 에칭체의 임계 치수의 변화의 그래프 (500) 를 나타낸다. 선들과 간격들의 패턴으로 에칭된 선에 대한 임계 치수의 변화 (㎛) 를 축 (502) 상에 나타낸다. 선들 (㎛) 사이의 간격 함수에 따른 임계 치수의 변화를 축 (504) 상에 나타낸다. 그래프 상에는 5 개의 플롯이 있으며, 플롯 (506) 은 기판 상의 하부 위치를 나타내고, 플롯 (508) 은 기판의 좌측 상의 위치를 나타내며, 플롯 (510) 은 그래프 상의 중심 위치를 나타내고, 플롯 (512) 은 기판의 우측 상의 위치를 나타내며, 플롯 (514) 는 기판 상의 상부 위치를 나타낸다.
또한, 간격의 차이로 인한 CD 변화는 기판 표면 상의 위치로 인한 CD 변화보다 크다. CD 변화 범위는 15.7 nm 이었으며, 평균 CD 변화는 -25.8 이였다. 또한, 기판의 조밀한 영역 (즉, 형성체들 사이에서 보다 작은 간격폭을 갖는 영역) 의 CD 손실이 보다 크게 되었다.
제 3 예
유기 BARC층의 에칭을 위해 염소와 산소계 플라즈마를 이용하는 것을 결정하였다. 아래의 [표 4] 에 요약된 실험에서는 Cl2, O2, 및 아르곤의 플라즈마 소오스 가스가 사용되었다.
도 6 은 작업 # 15 에 대해 에칭체들 사이의 간격 함수에 따른 에칭체의 임계 치수의 변화의 그래프 (600) 를 나타낸다. 선들과 간격들의 패턴으로 에칭된 선에 대한 임계 치수의 변화 (㎛) 를 축 (602) 상에 나타낸다. 선들 (㎛) 사이의 간격 함수에 따라 임계 치수의 변화를 축 (604) 상에 나타낸다. 그래프 상에는 5 개의 플롯이 있으며, 플롯 (606) 은 기판 상의 하부 위치를 나타내고, 플롯 (608) 은 기판의 좌측 상의 위치를 나타내며, 플롯 (610) 은 그래프 상의 중심 위치를 나타내고, 플롯 (612) 은 기판의 우측 상의 위치를 나타내며, 플롯 (614) 는 기판 상의 상부 위치를 나타낸다.
CD 변화 범위는 18.6 nm 이었으며, 평균 CD 변화는 26.6 이였다, 그러나, CF4/HBr/O2(작업 # 1-6) 또는 CF4/Cl2/N2(작업 # 7-14) 의 에칭 화학물이 이용되었을 때 발견되는 조밀한 영역의 CD 손실이 보다 커지는 경향과 반대로, 작업 # 15 의 Cl2/O2/Ar 에칭 화학물의 이용은 분리된 영역 (즉, 형성체들 사이에서 보다 큰 간격폭을 갖는 영역) 에서 CD 손실이 보다 커지는 경향을 초래하였다.
Cl2/O2/Ar 에칭 화학물은 하위 폴리실리콘층 (206) 에 비해 BARC층 (208) 의 에칭에 대한 우수한 선택비를 제공하였다.
제 4 예
다음으로 설정된 실험은 BARC 메인에칭에 대한 제 1 에칭 화학물을 이용한 후, 오버에칭 단계에 대한 제 2 에칭 화학물을 이용하는 것과 관련되었다. 메인 BARC 에칭은 CF4와 Cl2의 플라즈마 소오스 가스를 이용하고, 임의에 따라, N2화합 가스를 이용한다 (작업 # 16-18). 오버에칭 단계는 Cl2, O2및 아르곤의 플라즈마 소오스 가스를 이용하였다. 가스 유량, 플라즈마 소오스 전력, 및 바이어스 전력 등의 프로세스 조건을 실험에 따라 변화시켰다.
[표 5] 는 ARC층의 에칭 시 생성되는 임계 치수 데이터와 함께, 메인 BARC 에칭 및 오버에칭 단계에 대한 실험 프로세스 조건을 제공한다.
전술한 바와 같이, CF4/Cl2에칭 화학물은 조밀한 영역에서의 보다 큰 CD 손실 경향을 나타내는 반면, Cl2/O2/Ar 에칭 화학물은 기판의 고립 영역에서 보다 큰 CD 손실을 나타낸다. 상기 [표 5] 에 나타낸 바와 같이, 메인에칭과 오버에칭의 마이크로로딩 경향은 서로 보상되어 기판에 대해 향상된 CD 균일성을 보이는 것으로 나타난다. (동일한 플라즈마 소오스 가스 조성과 프로세스 조건을 이용하는) 작업 # 19 및 22 는 30 nm 미만의 평균 CD 변화 및 10 nm 미만의 CD 변화 범위를 제공하였다.
도 7 은 작업 # 19 에 대해 에칭체들 사이의 간격 함수에 따른 에칭체의 임계 치수의 변화를 나타낸다. 선들과 간격들의 패턴으로 에칭된 선에 대한 임계 치수의 변화 (㎛) 를 축 (702) 상에 나타낸다. 선들 (㎛) 사이의 간격 함수에 따른 임계 치수의 변화를 축 (704) 상에 나타낸다. 그래프 상에는 5 개의 플롯이 있으며, 플롯 (706) 은 기판 상의 하부 위치를 나타내고, 플롯 (708) 은 기판의 좌측 상의 위치를 나타내며, 플롯 (710) 은 그래프 상의 중심 위치를 나타내고, 플롯 (712) 은 기판의 우측 상의 위치를 나타내며, 플롯 (714) 는 기판 상의 상부 위치를 나타낸다.
본 발명의 ARC 에칭 방법을 이용하여 획득되는 향상된 CD 균일성은, 선행하는 도 3, 4, 5 및 6 에 나타낸 CD 균일성 데이터와 비교할 때, 도 7 의 그래프 (700) 에서 명확하게 나타날 수 있다.
전술한 바람직한 실시형태들은 본 발명의 범위를 한정하려는 것은 아니며, 당업자는 본 개시에 기초하여 이러한 실시형태들이 이하의 특허청구범위의 목적물에 부합하도록 범위가 확대될 수 있다.

Claims (36)

  1. 유기 코팅층을 에칭하는 방법으로서,
    a) 플루오로카본 (fluorocarbon) 가스, 및 탄소를 포함하지 않는 할로겐 함유 가스를 포함하는 제 1 소오스 가스로부터 생성되는 플라즈마를 이용하여, 상기 유기 코팅층의 전체 두께를 실질적으로 에칭하는 메인에칭 (main etch) 단계; 및
    b) 상기 메인에칭 단계 이후에 잔존하는 잔존 유기 코팅 재료를 제거하기 위하여, 염소 함유 가스, 산소 함유 가스 및 비활성 가스를 포함하는 제 2 소오스 가스로부터 생성되는 플라즈마에 상기 잔존 유기 코팅 재료를 노출시키는 오버에칭 (over etch) 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서, 상기 플로오로카본 가스는 CF4, CHF3, CH2F2, C2F6, C4F8및 그 화합물로 이루어지는 그룹으로부터 선택되는 것을 특징으로 하는 방법.
  3. 제 2 항에 있어서, 상기 플루오로카본 가스는 CF4인 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서, 상기 탄소를 함유하지 않는 할로겐 함유 가스는 Cl2, HBr, 및 HCl 로 이루어지는 그룹으로부터 선택되는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서, 상기 탄소를 함유하지 않는 할로겐 함유 가스는 Cl2인 것을 특징으로 하는 방법.
  6. 제 3 항에 있어서, 상기 제 1 소오스 가스는 CF4및 Cl2를 포함하는 것을 특징으로 하는 방법.
  7. 제 6 항에 있어서, 상기 제 1 소오스 가스내에서의 CF4: Cl2의 부피비는 약 1 : 1 에서 약 6 : 1 까지의 범위인 것을 특징으로 하는 방법.
  8. 제 6 항에 있어서, 상기 제 1 소오스 가스는 N2및 HBr 로 이루어지는 그룹으로부터 선택되는 패시베이팅 가스 (passivating gas) 를 더 포함하는 것을 특징으로 하는 방법.
  9. 제 3 항에 있어서, 상기 제 1 소오스 가스는 CF4, HBr, 및 O2를 포함하는 것을 특징으로 하는 방법.
  10. 제 1 항에 있어서, 상기 염소 함유 가스는 Cl2및 HCl 로 이루어지는 그룹으로부터 선택되는 것을 특징으로 하는 방법.
  11. 제 10 항에 있어서, 상기 염소 함유 가스는 Cl2인 것을 특징으로 하는 방법.
  12. 제 1 항에 있어서, 상기 산소 함유 가스는 O2인 것을 특징으로 하는 방법.
  13. 제 1 항에 있어서, 상기 비활성 가스는 아르곤, 헬륨, 크세논, 크립톤, 및 그 화합물로 이루어지는 그룹으로부터 선택되는 것을 특징으로 하는 방법.
  14. 제 13 항에 있어서, 상기 비활성 가스는 아르곤인 것을 특징으로 하는 방법.
  15. 제 11 항에 있어서, 상기 제 2 소오스 가스는 Cl2, O2, 및 아르곤을 포함하는 것을 특징으로 하는 방법.
  16. 제 15 항에 있어서, 상기 제 2 소오스 가스내에서의 Cl2: O2의 부피비는 약 2 : 3 에서 약 3 : 1 까지의 범위인 것을 특징으로 하는 방법.
  17. 제 15 항에 있어서, 아르곤은 상기 제 2 소오스 가스의 약 20 vol% 에서 약80 vol% 까지 포함되는 것을 특징으로 하는 방법.
  18. 제 17 항에 있어서, 아르곤은 상기 제 2 소오스 가스의 약 30 vol% 에서 약 50 vol% 까지 포함되는 것을 특징으로 하는 방법.
  19. 제 1 항에 있어서, 상기 오버에칭 단계에 이용되는 기판 바이어스 전력은 상기 메인에칭 단계에 이용되는 기판 바이어스 전력보다 작은 것을 특징으로 하는 방법.
  20. 제 19 항에 있어서, 상기 오버에칭 단계에 이용되는 상기 기판 바이어스 전력은 상기 메인에칭 단계에 이용되는 기판 바이어스 전력의 80 % 이하인 것을 특징으로 하는 방법.
  21. 제 1 항에 있어서, 상기 유기 코팅층은 ARC (antireflective coating) 층인 것을 특징으로 하는 방법.
  22. 제 21 항에 있어서, 상기 제 2 소오스 가스는 상기 ARC층을 에칭하기 위해 하위 실리콘 함유층에 대해 20 : 1 이상의 식각비를 제공하는 것을 특징으로 하는 방법.
  23. 제 22 항에 있어서, 상기 하위 실리콘 함유층은 폴리실리콘인 것을 특징으로 하는 방법.
  24. 제 1 항에 있어서, 상기 유기 코팅층은 1500 Å 이상의 두께를 갖는 것을 특징으로 하는 방법.
  25. 반도체 기판 상의 유기 코팅층을 에칭하는 방법으로서,
    a) 제 1 소오스 가스로부터 생성된 플라즈마를 이용하여 상기 유기 코팅층의 전체 두께를 실질적으로 에칭하고, 제 1 기판 바이어스 전력을 이용하여 에칭을 수행하며, 상기 기판의 분리된 형성체 영역보다 상기 기판의 조밀한 형성체 영역에 보다 빠른 에칭 속도를 제공하는 메인에칭 단계; 및
    b) 제 2 소오스 가스로부터 생성된 플라즈마에 상기 기판을 노출시킴으로써 상기 메인에칭 단계 이후 잔존하는 잔존 유기 코팅 재료를 제거하고, 상기 제 1 기판 바이어스 전력보다 작은 제 2 기판 바이어스 전력을 이용하여 에칭을 수행하며, 상기 기판의 조밀한 형성체 영역보다 상기 기판의 분리된 형성체 영역에 보다 빠른 에칭 속도를 제공하는 오버에칭 단계를 포함하는 것을 특징으로 하는 방법.
  26. 제 25 항에 있어서, 상기 제 2 기판 바이어스 전력은 상기 제 1 기판 바이어스 전력의 80 % 이하인 것을 특징으로 하는 방법.
  27. 제 26 항에 있어서, 상기 제 2 기판 바이어스 전력은 상기 제 1 기판 바이어스 전력의 70 % 이하인 것을 특징으로 하는 방법.
  28. 제 25 항에 있어서, 상기 제 1 소오스 가스는 플루오로카본 가스 및 탄소를 함유하지 않는 할로겐 함유 가스를 포함하는 것을 특징으로 하는 방법.
  29. 제 28 항에 있어서, 상기 제 1 소오스 가스는 CF4및 Cl2를 포함하는 것을 특징으로 하는 방법.
  30. 제 29 항에 있어서, 상기 제 1 소오스 가스는 N2및 HBr 로 이루어지는 그룹으로부터 선택되는 패시베이팅 가스를 더 포함하는 것을 특징으로 하는 방법.
  31. 제 25 항에 있어서, 상기 제 2 소오스 가스는 염소 함유 가스, 산소 함유 가스, 및 비활성 가스를 포함하는 것을 특징으로 하는 방법.
  32. 제 31 항에 있어서, 상기 제 2 소오스 가스는 Cl2, O2및 아르곤을 포함하는 것을 특징으로 하는 방법.
  33. 제 25 항에 있어서, 상기 유기 코팅층은 ARC층인 것을 특징으로 하는 방법.
  34. 제 33 항에 있어서, 상기 제 2 소오스 가스는 상기 ARC층을 에칭하기 위해 하위 실리콘 함유층에 대해 20 : 1 이상의 선택비를 제공하는 것을 특징으로 하는 방법.
  35. 제 34 항에 있어서, 상기 하위 실리콘 함유층은 폴리실리콘인 것을 특징으로 하는 방법.
  36. 제 35 항에 있어서, 상기 유기 코팅층은 1500 Å 이상의 두께를 갖는 것을 특징으로 하는 방법.
KR10-2003-7012285A 2001-03-20 2002-02-28 유기 반사방지 코팅(arc)층의 에칭 방법 KR20040017805A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/813,392 2001-03-20
US09/813,392 US6599437B2 (en) 2001-03-20 2001-03-20 Method of etching organic antireflection coating (ARC) layers
PCT/US2002/007925 WO2002075796A1 (en) 2001-03-20 2002-02-28 Method of etching organic antireflection coating (arc) layers

Publications (1)

Publication Number Publication Date
KR20040017805A true KR20040017805A (ko) 2004-02-27

Family

ID=25212245

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-7012285A KR20040017805A (ko) 2001-03-20 2002-02-28 유기 반사방지 코팅(arc)층의 에칭 방법

Country Status (7)

Country Link
US (1) US6599437B2 (ko)
EP (1) EP1371086A1 (ko)
JP (1) JP2004527906A (ko)
KR (1) KR20040017805A (ko)
CN (1) CN1505831A (ko)
TW (1) TW550661B (ko)
WO (1) WO2002075796A1 (ko)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6864041B2 (en) * 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
US20030092281A1 (en) * 2001-11-13 2003-05-15 Chartered Semiconductors Manufactured Limited Method for organic barc and photoresist trimming process
JP3883470B2 (ja) * 2002-05-14 2007-02-21 沖電気工業株式会社 半導体装置の製造方法
US20040041272A1 (en) * 2002-08-29 2004-03-04 Signorini Karen T. Method for etching anti-reflectant coating layers
US20040077160A1 (en) * 2002-10-22 2004-04-22 Koninklijke Philips Electronics N.V. Method to control dimensions of features on a substrate with an organic anti-reflective coating
US7344991B2 (en) 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
JP4594235B2 (ja) * 2002-12-23 2010-12-08 東京エレクトロン株式会社 Arc層をエッチングする方法
CN100521088C (zh) * 2002-12-23 2009-07-29 东京毅力科创株式会社 双层光刻胶干法显影的方法和装置
JP4001559B2 (ja) * 2003-03-04 2007-10-31 東京エレクトロン株式会社 インライン接続設定方法および装置
US7622051B1 (en) * 2003-03-27 2009-11-24 Lam Research Corporation Methods for critical dimension control during plasma etching
JP2006522480A (ja) * 2003-03-31 2006-09-28 東京エレクトロン株式会社 多層フォトレジストのドライ現像のための方法及び装置
CN100423192C (zh) * 2003-03-31 2008-10-01 东京毅力科创株式会社 用于多层光致抗蚀剂干式显影的方法和装置
US8048325B2 (en) * 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US20040219790A1 (en) * 2003-04-30 2004-11-04 Wilson Aaron R Etching methods, RIE methods, and methods of increasing the stability of photoresist during RIE
TWI225574B (en) * 2003-05-01 2004-12-21 Nanya Technology Corp Photomask structure and method of reducing lens aberration and pattern displacement
US6976782B1 (en) * 2003-11-24 2005-12-20 Lam Research Corporation Methods and apparatus for in situ substrate temperature monitoring
US20050136666A1 (en) * 2003-12-23 2005-06-23 Tokyo Electron Limited Method and apparatus for etching an organic layer
JP4723871B2 (ja) * 2004-06-23 2011-07-13 株式会社日立ハイテクノロジーズ ドライエッチング装置
KR100639213B1 (ko) * 2004-12-28 2006-10-31 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20070045230A1 (en) * 2005-08-30 2007-03-01 Micron Technology, Inc. Methods for independently controlling one or more etching parameters in the manufacture of microfeature devices
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
KR100741921B1 (ko) 2005-12-29 2007-07-24 동부일렉트로닉스 주식회사 반도체 소자의 금속 식각 방법
KR100932315B1 (ko) * 2007-02-09 2009-12-16 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US7785484B2 (en) * 2007-08-20 2010-08-31 Lam Research Corporation Mask trimming with ARL etch
US8017526B2 (en) * 2007-11-30 2011-09-13 Applied Materials, Inc. Gate profile control through effective frequency of dual HF/VHF sources in a plasma etch process
RU2010130570A (ru) * 2007-12-21 2012-01-27 Солвей Флуор Гмбх (De) Способ получения микроэлектромеханических систем
US7888267B2 (en) * 2008-02-01 2011-02-15 Tokyo Electron Limited Method for etching silicon-containing ARC layer with reduced CD bias
US20090283714A1 (en) * 2008-05-14 2009-11-19 Chung-Chih Chen Etching gas for removing organic layers
JP5064319B2 (ja) * 2008-07-04 2012-10-31 東京エレクトロン株式会社 プラズマエッチング方法、制御プログラム及びコンピュータ記憶媒体
CN102254811A (zh) * 2010-05-21 2011-11-23 中芯国际集成电路制造(上海)有限公司 减少刻蚀残留物的晶圆氧化层刻蚀方法
CN102867745B (zh) * 2012-09-27 2016-01-20 无锡华润上华科技有限公司 一种改善晶圆内图案临界尺寸均匀度的蚀刻方法和系统
JP2014107520A (ja) * 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
CN104253017B (zh) * 2013-06-27 2018-05-08 北京北方华创微电子装备有限公司 基片刻蚀方法
US9847302B2 (en) * 2013-08-23 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer surface conditioning for stability in fab environment
CN104465364B (zh) * 2014-04-22 2018-02-02 上海华力微电子有限公司 一种消除有源区损伤的多晶硅刻蚀方法
CN104835908A (zh) * 2015-04-17 2015-08-12 上海华虹宏力半导体制造有限公司 用于3d amr的氮化钽刻蚀方法
EP3297824A1 (en) 2015-05-19 2018-03-28 Corning Incorporated Articles and methods for bonding sheets with carriers
TWI821867B (zh) 2016-08-31 2023-11-11 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
JP6820206B2 (ja) * 2017-01-24 2021-01-27 東京エレクトロン株式会社 被加工物を処理する方法
WO2019036710A1 (en) 2017-08-18 2019-02-21 Corning Incorporated TEMPORARY BINDING USING POLYCATIONIC POLYMERS
JP7431160B2 (ja) * 2017-12-15 2024-02-14 コーニング インコーポレイテッド 基板を処理するための方法および結合されたシートを含む物品を製造するための方法
CN108281381B (zh) * 2018-02-28 2020-09-11 新沂市锡沂高新材料产业技术研究院有限公司 一种半导体互连结构的制备方法
CN108376671B (zh) * 2018-02-28 2020-09-18 新沂市锡沂高新材料产业技术研究院有限公司 一种具有多孔介质层的半导体结构的制备方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
US5910453A (en) 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US5772906A (en) 1996-05-30 1998-06-30 Lam Research Corporation Mechanism for uniform etching by minimizing effects of etch rate loading
TW363220B (en) 1996-07-15 1999-07-01 Applied Materials Inc Etching organic antireflective coating from a substrate
US5972796A (en) * 1996-12-12 1999-10-26 Texas Instruments Incorporated In-situ barc and nitride etch process
KR19980064754A (ko) 1996-12-23 1998-10-07 윌리엄비.켐플러 무반사 코팅을 플라즈마 에칭하기 위한 공정
US6013582A (en) 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
US6296780B1 (en) * 1997-12-08 2001-10-02 Applied Materials Inc. System and method for etching organic anti-reflective coating from a substrate
JP3003657B2 (ja) * 1997-12-24 2000-01-31 日本電気株式会社 半導体装置の製造方法
US6004850A (en) 1998-02-23 1999-12-21 Motorola Inc. Tantalum oxide anti-reflective coating (ARC) integrated with a metallic transistor gate electrode and method of formation
US6001538A (en) 1998-04-06 1999-12-14 Taiwan Semiconductor Manufacturing Company Ltd. Damage free passivation layer etching process
US6040248A (en) * 1998-06-24 2000-03-21 Taiwan Semiconductor Manufacturing Company Chemistry for etching organic low-k materials
US6156629A (en) * 1998-10-01 2000-12-05 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate in deep submicron technology
US6156485A (en) * 1999-01-19 2000-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Film scheme to solve high aspect ratio metal etch masking layer selectivity and improve photo I-line PR resolution capability in quarter-micron technology
US6004853A (en) 1999-05-27 1999-12-21 Vanguard International Semiconductor Corporation Method to improve uniformity and the critical dimensions of a DRAM gate structure

Also Published As

Publication number Publication date
EP1371086A1 (en) 2003-12-17
US20030029835A1 (en) 2003-02-13
TW550661B (en) 2003-09-01
WO2002075796A1 (en) 2002-09-26
US6599437B2 (en) 2003-07-29
CN1505831A (zh) 2004-06-16
JP2004527906A (ja) 2004-09-09

Similar Documents

Publication Publication Date Title
KR20040017805A (ko) 유기 반사방지 코팅(arc)층의 에칭 방법
US6287978B1 (en) Method of etching a substrate
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
KR100738699B1 (ko) 도핑에 독립적인 폴리실리콘용 자기세정 에칭 방법
JP4579611B2 (ja) ドライエッチング方法
US6399507B1 (en) Stable plasma process for etching of films
US6093655A (en) Plasma etching methods
US6492068B1 (en) Etching method for production of semiconductor devices
KR20030022361A (ko) 티타늄 질화물 에칭방법
KR20020027323A (ko) 실리콘 산화물 및 반사방지용 유전체 코팅의 식각 방법
JP2004512668A (ja) フルオロカーボンのエッチングガスを用いた磁気的に増強されたプラズマエッチング方法
US7122125B2 (en) Controlled polymerization on plasma reactor wall
KR20010032913A (ko) 기판으로부터의 유기성 반사 방지 코팅 에칭 시스템 및 방법
US6897154B2 (en) Selective etching of low-k dielectrics
JP2004512673A (ja) 炭素を含有するシリコン酸化物膜をエッチングする方法
JPH1098029A (ja) 基板から有機反射防止膜をエッチングする処理法
US6787475B2 (en) Flash step preparatory to dielectric etch
KR20000057897A (ko) 지정된 기판 상에 놓여져 있는 패턴화된 마스크 표면 위로조립 구조물을 증착하기 위한 방법
US6383941B1 (en) Method of etching organic ARCs in patterns having variable spacings
US6544896B1 (en) Method for enhancing etching of TiSix
CN111952169A (zh) 聚酰亚胺刻蚀方法
Labelle et al. Metal stack etching using a helical resonator plasma
WO2004042813A1 (en) Method of etching a silicon-containing dielectric material
Horn et al. Comparison of etching tools for resist pattern transfer
Horn et al. Comparison of etching tools for resist pattern transfer

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid