JP2006522480A - 多層フォトレジストのドライ現像のための方法及び装置 - Google Patents

多層フォトレジストのドライ現像のための方法及び装置 Download PDF

Info

Publication number
JP2006522480A
JP2006522480A JP2006508615A JP2006508615A JP2006522480A JP 2006522480 A JP2006522480 A JP 2006522480A JP 2006508615 A JP2006508615 A JP 2006508615A JP 2006508615 A JP2006508615 A JP 2006508615A JP 2006522480 A JP2006522480 A JP 2006522480A
Authority
JP
Japan
Prior art keywords
gas
period
substrate
plasma
passivation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006508615A
Other languages
English (en)
Inventor
バラサブラマニアム、バイドヤナサン
稲沢 剛一郎
ワイズ、リッチ
マホロワラ、アーパン・ピー.
パンダ、シッダーサ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/640,577 external-priority patent/US7344991B2/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2006522480A publication Critical patent/JP2006522480A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】多層フォトレジストのドライ現像のための方法及び装置
【解決手段】プラズマ処理システム内で、基板上に有機反射防止膜(ARC)をエッチングする方法は、アンモニア(NH)及びパッシベーションガスを含むプロセスガスを導入することと、該プロセスガスからプラズマを形成することと、該基板を該プラズマにさらすこととを含む。該プロセスガスは、例えば、NH、及びC、CH、C、C、C、C、C、C、C、C10、C、C10、C、C10及びC12のうちの少なくとも1つ等の炭化水素ガスを構成することができる。本発明は、さらに、基板上の薄膜をエッチングする2層マスクを形成する方法を提供する。

Description

(関連出願の相互参照)
本出願は、2003年3月31日に出願された米国仮出願第60/458,430号、2003年5月5日に出願された米国仮出願第60/484,225号及び2003年8月14日に出願された米国非仮出願第10/640,577号に関連し、かつそれらの出願に対して優先権を主張し、それらの全ての内容が参照してここに組み込まれる。本出願は、2002年12月23日に出願された「2層フォトレジストのドライ現像のための方法及び装置(Method and Apparatus For Bilayer Photoresist Dry Development)」というタイトルの同時係属出願第60/435,286号に関連し、その全ての内容が参照してここに組み込まれる。
本発明は、基板をプラズマ処理するための方法及び装置に関し、より具体的には、多層フォトレジストのドライ現像のための方法に関する。
半導体の処理中には、微細線に沿って、あるいは、シリコン基板上にパターン化されたビアまたはコンタクト内の物質を除去またはエッチングするために、(ドライ)プラズマエッチングプロセスを用いることができる。プラズマエッチングプロセスは、一般に、処理チャンバ内に、重ねてパターン化された保護層、例えば、フォトレジスト層を有する半導体基板を位置決めすることを含む。該基板が、一旦、該チャンバ内に位置決めされると、イオン化可能な分解性ガス混合物が、予め指定された流量で該チャンバ内に導入されると共に、周囲プロセス圧力を実現するために、真空ポンプは絞り調整される。その後、存在するガス活性種の一部が、誘導結合的な、または容量結合的な高周波(RF)電力の伝達、あるいは、例えば、電子サイクロトロン共鳴(ECR)を用いたマイクロ波電力の伝達を介して加熱された電子によってイオン化されたときに、プラズマが形成される。また、該加熱された電子は、周囲のガス活性種のうちの一部の活性種を分解するように作用して、露出面のエッチング化学作用に適した反応物活性種を生成する。一旦、プラズマが形成されると、基板の選択された面がプラズマによってエッチングされる。該プロセスは、該基板の選択された領域の様々な形態(例えば、トレンチ、ビア、コンタクト等)をエッチングするために、望ましい反応物及びイオン群の適切な濃度を含む適切な条件を達成するように調整される。エッチングが必要なこのような基板材料は、二酸化シリコン(SiO)、低誘電率絶縁材料、ポリシリコン及び窒化シリコンを含む。
本発明は、基板をプラズマ処理するための方法及び装置、および多層フォトレジストのドライ現像のための方法及び装置に関する。また、本発明は、多層マスク自体に関する。
本発明の1つの態様においては、プラズマ処理システム内で、基板上に反射防止膜(anti−reflective coating;ARC)をエッチングするための方法及び装置が説明されている。アンモニア(NH)及びパッシベーションガスを集合的に(collectively)含有する1つ以上のガスを含むプロセスガスが導入される。プラズマは、プラズマ処理システム内で、該プロセスガスから形成される。該基板は、該プラズマにさらされる。
本発明のまた別の態様においては、基板上に薄膜をエッチングするための2層マスクを形成する方法及び装置が説明されている。該薄膜は、該基板上に形成される。反射防止膜(ARC)は、該薄膜上に形成される。フォトレジストパターンは、該ARC層上に形成される。該フォトレジストパターンは、アンモニア(NH)及びパッシベーションガスを集合的に含有する1つ以上のガスを含むプロセスガスを用いて、該ARC層をエッチングすることにより、該ARC層に転写される。
また、プラズマ処理システムにおいて、基板上の多層マスクにおける側壁を滑らかにする方法は、アンモニア(NH)及びパッシベーションガスを集合的に含有する1つ以上のガスを含むプロセスガスを導入することと、該プラズマ処理システム内で、該プロセスガスからプラズマを形成することと、該基板を該プラズマにさらすこととを含み、該パッシベーションガスは、該側壁の表面粗さを滑らかにするために、該多層マスクの側壁上のパッシベーション膜の形成を容易にする。
材料処理方法において、パターンエッチングは、フォトレジスト等の感光性材料からなる薄膜を基板の上面に施すことを含み、該基板の上面は、エッチング中に、このパターンを基板の下層にある薄膜に転写するマスクを形成するために、後にパターン化される。該感光製材料のパターニングは、一般に、例えば、マイクロリソグラフィシステムを用いた、該感光材料のレチクル(及び関連する光学系)を介した照射源による露光と、その後続いて行われる、現像液を用いた、(ポジ型フォトレジストの場合のような)該感光材料の照射領域の除去、あるいは、(ネガ型フォトレジストの場合のような)非照射領域の除去を伴う。多層マスクは、薄膜中に形態をエッチングするために実施することができる。例えば、図1Aから図1Cに示すように、従来のリソグラフィ技術を用いて形成されたパターン2を有する感光層3と、有機反射防止膜(ARC)7とを備える2層マスク6を、基板5上の薄膜4をエッチングするマスクとして用いることができ、感光層3のマスクパターン2は、薄膜4のための主エッチング工程より先に行われる別のエッチング工程を用いて、ARC層7に転写される。
一実施形態においては、アンモニア(NH)及びパッシベーションガスを含むプロセスガスが、2層フォトレジストのドライ現像の方法において用いられる。例えば、パッシベーションガスは、C、CH、C、C、C、C、C、C、C、C10、C、C10、C、C10、C12等のうちの少なくとも1つ等の炭化水素ガスを含むことができる。
上記の実施形態は、基板5上の薄膜4のエッチングについて説明しているが、該エッチングは、薄膜4を有するまたは有しない基板5自体に対しても可能である。
一実施形態によれば、プラズマ処理チャンバ10と、プラズマ処理チャンバ10に結合された診断システム12と、診断システム12及びプラズマ処理チャンバ10に結合されたコントローラ14とを備えるプラズマ処理システム1が図2に示されている。コントローラ14は、有機ARC層をエッチングするための上述した化学作用のうちの少なくとも1つを含むプロセスレシピを実行するように構成されている。また、コントローラ14は、診断システム12から少なくとも1つの終点信号を受け取り、かつ該プロセスの終点を正確に判断するために、少なくとも1つの終点信号を後処理するように構成されている。図示の実施形態においては、図2に示されたプラズマ処理システム1は、材料処理のためにプラズマを用いる。プラズマ処理システム1は、エッチングチャンバを備えることができる。
図3に示す実施形態によれば、プラズマ処理システム1aは、プラズマ処理チャンバ10と、上に処理すべき基板25が吸着される基板ホルダ20と、真空ポンプシステム30とを備えることができる。基板25は、例えば、半導体基板、ウェハまたは液晶ディスプレイとすることができる。プラズマ処理チャンバ10は、例えば、基板25の表面近くの処理領域15でのプラズマの生成を容易にするように構成することができる。イオン化可能なガスまたはガスの混合物は、ガス注入システム(図示せず)を介して導入され、プロセス圧力が調節される。例えば、制御機構(図示せず)を、真空ポンプシステム30を絞るのに用いることができる。プラズマは、所定の材料プロセスに固有の材料を生成するのに、および/または基板25の露出面からの材料の除去を補助するのに用いることができる。プラズマ処理システム1aは、200mm基板、300mm基板、またはそれより大きい基板を処理するように構成することができる。
基板25は、例えば、静電クランプシステムを介して基板ホルダ20に吸着させる(affixed)ことができる。さらに、基板ホルダ20は、例えば、基板ホルダ20から熱を受け取って、熱を熱交換システム(図示せず)に伝達する、あるいは、加熱時に、該熱交換システムから熱を伝達する再循環冷却流を含む冷却システムをさらに含むことができる。また、ガスを、例えば、基板25と基板ホルダ20の間のガスギャップ熱伝導性を改善するために、裏面ガスシステムを介して基板25の裏面に供給することができる。このようなシステムは、該基板の温度制御が、低温及び高温時に必要な場合に用いることができる。例えば、該裏面ガスシステムは、基板25の中心部と縁部との間で、ヘリウムガスギャップ圧力を独立して変化させることができる、2ゾーンガス分配システムを備えることができる。他の実施形態においては、抵抗加熱素子、または熱電気ヒータ/クーラー等の加熱/冷却素子を、プラズマ処理チャンバ10のチャンバ壁及びプラズマ処理システム1a内のいずれかの他の構成部品だけでなく、基板ホルダ20内にも含めることができる。
図3に示す実施形態において、基板ホルダ20は、それを介してRF電力が、プロセス空間15内の処理プラズマに結合される電極を備えることができる。例えば、基板ホルダ20は、高周波電源40からインピーダンス整合ネットワーク50を介した基板ホルダ20へのRF電力の伝達を介して、RF電圧で電気的にバイアスをかけることができる。RFバイアスは、電子を加熱して、プラズマを形成しかつ維持するように作用することができる。この構成において、上記システムは、反応性イオンエッチング(RIE)リアクタとして作動することができ、上記チャンバ及び上部ガス注入電極は、接地面として作用する。該RFバイアスのための典型的な周波数は、0.1MHzから100MHzとすることができる。プラズマ処理のためのRFシステムは、当業者には公知である。
別法として、RF電力は、多重周波数で、基板ホルダ電極に印加される。さらに、インピーダンス整合ネットワーク50は、反射電力を低減することにより、プラズマ処理チャンバ10内のプラズマへのRF電力の伝達を改善するように作用する。整合ネットワーク接続形態(例えば、L型、π型、T型等)及び自動制御方法は、当業者には公知である。
真空ポンプシステム30は、例えば、毎秒5000リットル(以上)のポンピング速度が可能なターボ分子ポンプ(turbo−molecular vacuum pump;TMP)と、チャンバ圧力を絞り調整するためのゲートバルブとを含むことができる。ドライプラズマエッチングに用いられる従来のプラズマ処理装置においては、毎秒1000から3000リットルのTMPが一般に用いられている。TMPは、典型的には、50mトル(Torr)未満の低圧処理に有用である。高圧処理(すなわち100mトルより大きい)の場合、機械的なブースターポンプ及びドライ粗引きポンプを用いることができる。さらに、チャンバ圧力を監視する装置(図示せず)を、プラズマ処理チャンバ10に結合することができる。圧力測定装置は、例えば、マサチューセッツ州アンドーバー(Andover,MA)のMKSインスツルメンツ社(MKS Instruments,Inc.)から入手可能なBaratronタイプ628B アブソリュート・キャパシタンス・マノメータ(Type 628B Baratron absolute capacitance manometer)とすることができる。
コントローラ14は、マイクロプロセッサと、メモリと、プラズマ処理システム1aと通信し、かつプラズマ処理システム1aからのモニタ出力だけでなく該システムへの入力を活性化するのに十分な制御電圧を生成することが可能なディジタルI/Oポートとを備える。また、コントローラ14は、裏面ガス供給システム(図示せず)、基板/基板ホルダ温度測定システム(図示せず)、および/または静電クランプシステム(図示せず)と同様に、高周波電源40、インピーダンス整合ネットワーク50、ガス注入システム(図示せず)、真空ポンプシステム30に結合することができ、かつそれら構成部品と情報を交換することができる。例えば、該メモリに格納されたプログラムは、有機ARC層をエッチングする方法を実行するために、プロセスレシピに従って、プラズマ処理システム1aの上述した構成部品に対する入力を活性化するのに用いることができる。コントローラ14の1つの実例は、テキサス州オースチン(Austin,Texas)のデル・コーポレーション(Dell Corporation)から入手可能なDELL PRECISION WORKSTATION 610(登録商標)である。
診断システム12は、光診断サブシステム(図示せず)を含むことができる。該光診断サブシステムは、プラズマから放射される光強度を測定する(シリコン)フォトダイオードまたは光電子増倍管(photomultiplier tube;PMT)等の検出器を備えることができる。診断システム12は、さらに、狭帯域干渉フィルタ等の光フィルタを含むことができる。代替の実施形態においては、診断システム12は、ラインCCD(電荷結合デバイス)、CID(電荷注入デバイス)アレイ、および回折格子またはプリズム等の光分散装置のうちの少なくとも1つを含むことができる。また、診断システム12は、所定の波長における光を測定するモノクロメータ(例えば、回折格子/検出器システム)、あるいは、例えば、米国特許第5,888,337号明細書に記載されている装置等の、光スペクトルを測定する(例えば、回転回折格子を備えた)スペクトロメータを含むことができる。
診断システム12は、ピーク・センサ・システムズ社(Peak Sensor Systems)、またはベリティ・インスツルメンツ社(Verity Instruments,Inc.)から入手可能な高解像度の光放射分光法(Optical Emission Spectroscopy;OES)センサを含むことができる。このようなOESセンサは、紫外(UV)、可視(VIS)及び近赤外(NIR)光スペクトルに及ぶ広いスペクトルを有する。解像度は、約1.4オングストロームであり、すなわち、該センサは、240から1000nmの5550個の波長を収集することが可能である。例えば、該OESセンサは、2048画素の線型CCDアレイと一体化される高感度の小型光ファイバUV−VIS−NIRスペクトロメータを備えることができる。
上記スペクトロメータは、単一の及び束になった光ファイバを通して伝送された光を受け、該光ファイバから出力された光は、固定された回折格子を用いて、該ラインCCDアレイ上に分散される。上述した構成と同様に、光真空窓を通過する光は、凸状球状レンズを介して該光ファイバの入力端に収束される。各々が、所定のスペクトル範囲(UV、VIS及びNIR)に対して調節された3つのスペクトロメータは、プロセスチャンバのためのセンサを構成する。各スペクトロメータは、独立したA/D変換器を含む。そして最後に、該センサの利用により、全放射スペクトルを、0.1から1.0秒毎に記録することができる。
図4に示す実施形態においては、プラズマ処理システム1bは、例えば、図2または図3の実施形態と同じにすることができ、また、図2及び図3に関して説明した構成部品に加えて、プラズマ密度を潜在的に増加させるためおよび/またはプラズマ処理均一性を改善するために、さらに、静止した、または機械的にあるいは電気的に回転する磁界システム60を備えることができる。また、コントローラ14は、回転の速度及び磁界強度を調節するために、磁界システム60に結合することができる。回転磁界の設計及び実施は、当業者には公知である。
図5に示す実施形態においては、プラズマ処理システム1cは、例えば、図2または図3の実施形態と同じにすることができ、また、高周波電源72からインピーダンス整合ネットワーク74を介してRF電力を結合することができる上部電極70をさらに備えることができる。該上部電極へのRF電力の印加のための典型的な周波数は、0.1MHzから200MHzとすることができる。さらに、下部電極への電力の印加のための典型的な周波数は、0.1MHzから100MHzとすることができる。また、コントローラ14は、上部電極70へのRF電力の印加を制御するために、高周波電源72及びインピーダンス整合ネットワーク74に結合される。上部電極の設計及び実施は、当業者には公知である。
図6に示す実施形態においては、プラズマ処理システム1dは、例えば、図2及び図3の実施形態と同じにすることができ、また、インピーダンス整合ネットワーク84を経由して高周波電源82を介してRF電力が結合される誘導コイル80をさらに備えることができる。RF電力は、誘導コイル80から絶縁窓(図示せず)を介してプラズマ処理領域15に誘導結合される。誘導コイル80へのRF電力の印加のための典型的な周波数は、10MHzから100MHzとすることができる。同様に、チャック電極への電力の印加のための典型的な周波数は、0.1MHzから100MHzとすることができる。また、スロット型ファラデーシールド(図示せず)を、誘導コイル80とプラズマの間の容量結合を低減するために用いることができる。また、コントローラ14は、誘導コイル80への電力の印加を制御するために、高周波電源82及びインピーダンス整合ネットワーク84に結合されている。代替の実施形態においては、誘導コイル80は、変圧器結合プラズマ(transformer coupled plasma;TCP)リアクタの場合のように、上方からプラズマ処理領域15とつながった「螺旋状」コイルまたは「平板(pancake)状」コイルとすることができる。誘導結合プラズマ(inductively coupled plasma;ICP)源、または変圧器結合プラズマ(TCP)源の設計及び実施は、当業者には公知である。
別法として、プラズマは、電子サイクロトロン共鳴(ECR)を用いて形成することができる。また別の実施形態においては、プラズマは、ヘリコン波の発射によって形成される。また他の実施形態においては、プラズマは、伝播する表面波によって形成される。上述した各プラズマ源は、当業者には公知である。
以下の議論においては、プラズマ処理装置を用いて有機ARC層をエッチングする方法を説明する。例えば、該プラズマ処理装置は、図2から図6で説明したような様々な素子、およびそれらの組み合わせを備えることができる。
一実施形態において、有機ARC層をエッチングする方法は、NH、及びC、CH、C、C、C、C、C、C、C、C10、C、C10、C、C10、C12等のうちの少なくとも1つ等の炭化水素ガスを含む。例えば、プロセスパラメータ空間は、20から1000mトルのチャンバ圧力、50から1000sccmのNHプロセスガス流量、5から100sccmの炭化水素プロセスガス流量、500から2000Wの上部電極(例えば、図5の構成素子70)RFバイアス及び10から500Wの下部電極(例えば、図5の構成素子20)RFバイアスを含むことができる。また、上部電極バイアス周波数は、0.1MHzから200MHz、例えば、60MHzとすることができる。また、下部電極バイアス周波数は、0.1MHzから100MHz、例えば、2MHzとすることができる。
実施例において、図5で説明したようなプラズマ処理装置を用いて有機ARC層をエッチングする方法を説明する。しかし、議論する該方法は、この例示的な説明によって範囲を限定すべきではない。表Iは、以下の例示的なプロセスレシピを用いて有機ARC層内にエッチングされた形態の限界寸法を示し、チャンバ圧力=100mトル;上部電極RF電力=1200W;下部電極RF電力=100W;プロセスガス流量NH/C=450/50sccm;電極70(図5参照)の下面と基板ホルダ20上の基板25の下面の間の55mmの電極間隔;下部電極温度(例えば、図5の基板ホルダ20)=20C;上部電極温度(例えば、図5の電極70)=60C;チャンバ壁温度=50C;裏面ヘリウム圧力中心/縁部=10/35トル;及び180秒のエッチング時間。
Figure 2006522480
表Iは、ARC層エッチ後の残ったフォトレジストの厚さ、ARC形態に対する上面及び底部の限界寸法、及び限界寸法バイアス等の(メタルコンタクト(MC)及びコンタクト(CA)の場合の)結果を示し、該バイアスは、上面から底面へのCDの変化を示す(すなわち、負のバイアスは、CDの低下を示し、正のバイアスは、CDの増加を示す)。さらに、データは、中心及び縁部において報告されている。該データは、CDを維持する際の上記プロセスの成功及び該CDを低減する可能性を示している。
代替の実施形態においては、プロセスの化学作用は、さらに、ヘリウム(He)を含むことができる。該プロセスへのヘリウムの導入は、側壁形態の粗さを軽減することができる。
一般に、エッチング時間は、実験計画法(design of experiment;DOE)を用いて決めることができるが、該エッチング時間は、終点検出を用いて決めることもできる。終点検出の1つの可能な方法は、プラズマの化学作用の変化が、上記ARC層のエッチングの実質的な完了及び下にある材料膜との接触によって生じたときを示す、上記プラズマ領域からの放射光スペクトルの一部を監視することである。例えば、このような変化を表わす、該スペクトルの一部は、387.2nmの波長(炭化窒素(CN))を含み、光放射分光法(OES)を用いて測定することができる。放射後、該周波数に対応するレベルが、特定のしきい値を越え(例えば、実質的にゼロまで低下し、あるいは、特定のレベル以上に増加し)、終点に到達したとみなすことができる。終点情報をもたらす他の波長も用いることができる。さらに、エッチング時間は、オーバエッチングの期間を含むように伸ばすことができ、該オーバエッチング期間は、該エッチングプロセスの最初と、終点検出に関連する時間との間の時間の一部(すなわち、1から100%)を構成する。
図7は、本発明の一実施形態によるプラズマ処理システム内で、基板上の反射防止膜(ARC)をエッチングする方法のフローチャートを示す。処理手順400は410で始まり、プロセスガスが該プラズマ処理システムに導入され、該プロセスガスは、ガスを含有するアンモニア(NH)と、パッシベーションガスとを含む。例えば、該パッシベーションガスは、C、CH、C、C、C、C、C、C、C、C10、C、C10、C、C10及びC12のうちの少なくとも1つ等の炭化水素ガスを含むことができる。別法として、該プロセスガスは、ヘリウム(He)をさらに含むことができる。
420において、プラズマは、上記プラズマ処理システム内において、例えば、図2から図6で説明したシステムのうちのいずれか1つ、またはそれらの組み合わせを用いて、上記プロセスガスから形成される。
430において、上記ARC層を備える上記基板は、420で形成されたプラズマにさらされる。第1の期間の後、処理手順400が終了する。例えば、その間に、該ARC層を有する該基板がプラズマにさらされる該第1の期間は、一般に、該ARC層をエッチングするのに要する時間、あるいは、フォトレジストパターンを該ARC層に転写するのに要する時間によって必然的に決まる。一般に、フォトレジストパターンを、該ARC層の厚みを介して転写するのに要する該第1の期間は、予め決められている。別法として、該第1の期間は、第2の期間またはオーバエッチ期間によってさらに増加させることができる。上述したように、該オーバエッチング時間は、該第1の期間の、1から100%等の一部を含むことができ、このオーバエッチ期間は、終点の検出を越えるエッチングの延長を含むことができる。
図8は、本発明の別の実施形態によるプラズマ処理システムにおける基板上の薄膜をエッチングする2層マスクを形成する方法を示す。該方法は、該基板上に薄膜を形成することを伴う510で始まるフローチャート500に示されている。該薄膜は、二酸化シリコン(SiO)等の酸化層を含むことができ、また該薄膜は、化学気相成長(CVD)を含む様々なプロセスによって形成することができる。
520において、反射防止膜(ARC)層が、上記薄膜が積層された上記基板上に形成される。該ARC層は、例えば、回転塗布システム等の従来の技術を用いて形成される有機ARC層とすることができる。
530において、フォトレジストパターンが、該ARC層が積層された上記基板上に形成される。該フォトレジスト膜は、フォトレジスト回転塗布システム等の従来の技術を用いて形成することができる。該パターンは、ステップ式マイクロリソグラフィシステム等の従来の技術及び現像液を用いることにより、該フォトレジスト膜内に形成することができる。
540において、上記フォトレジストパターンは、2層マスクを形成するために、上記ARC層に転写される。該パターン転写は、ドライエッチング技術を用いて実現され、該エッチングプロセスは、ガスを含むアンモニア(NH)及びパッシベーションガスを含むプロセスガスを用いるプラズマ処理システムで実行される。例えば、該パッシベーションガスは、C、CH、C、C、C、C、C、C、C、C10、C、C10、C、C10及びC12のうちの少なくとも1つ等の炭化水素ガスを含むことができる。別法として、該プロセスガスは、上述したように、ヘリウム(He)をさらに含むことができる。プラズマは、例えば、図2から図6で説明したシステムのうちのいずれか1つを用いて、該プラズマ処理システム内で、該プロセスガスから形成され、上記ARC層を有する基板が、形成されたプラズマにさらされる。その間に、上記ARC層を有する上記基板がプラズマにさらされる第1の期間は、一般に、該ARC層をエッチングするのに要する時間、あるいは、フォトレジストパターンを該ARC層に転写するのに要する時間によって必然的に決まる。一般に、フォトレジストパターンを、該ARC層の厚みを介して転写するのに要する該第1の期間は、予め決められている。しかし、一般に、該第1の期間は、第2の期間またはオーバエッチング期間によってさらに増加される。上述したように、該オーバエッチング時間は、該第1の期間の、1から100%等の一部を含むことができ、このオーバエッチング期間は、終点の検出を越えるエッチングの延長を含むことができる。
図9A及び図9Bは、それぞれ、エッチングされた多層マスクの側面図及び平面図を示す。形態600は、エッチング中に、その上に表面粗さ620が形成される、側壁610、感光層640及びARC層650を備える。上記パッシベーションガスは、パッシベーション膜630の形成を容易にして、エッチングされた多層マスクの表面粗さ620を滑らかにする;図9B参照。
本発明のある実施形態のみを詳細に説明してきたが、当業者は、この発明の新規な教示及び効果を著しく逸脱することなく、該実施形態において、多くの変更例が可能であることを容易に認識するであろう。従って、そのような全ての変更例は、この発明の範囲に含まれるものと解釈すべきである。
薄膜をパターンエッチングする典型的な処理手順の概略説明を示す図である。 薄膜をパターンエッチングする典型的な処理手順の概略説明を示す図である。 薄膜をパターンエッチングする典型的な処理手順の概略説明を示す図である。 本発明の実施形態に係るプラズマ処理システムの単純化した概略図である。 本発明の別の実施形態に係るプラズマ処理システムの概略図である。 本発明の他の実施形態に係るプラズマ処理システムの概略図である。 本発明の別の実施形態に係るプラズマ処理システムの概略図である。 本発明の他の実施形態に係るプラズマ処理システムの概略図である。 本発明の実施形態に係るプラズマ処理システム内で、基板上の反射防止膜(ARC)をエッチングする方法を示す図である。 本発明の別の実施形態に係るプラズマ処理システム内で、基板上の薄膜をエッチングするための2層マスクを形成する方法を示す図である。 多層マスクの概略説明を示す図である。 多層マスクの概略説明を示す図である。

Claims (35)

  1. プラズマ処理システム内で基板上の反射防止膜(ARC)をエッチングする方法であって、
    アンモニア(NH)及びパッシベーションガスを集合的に含有する1つ以上のガスを含んでいるプロセスガスを導入することと、
    前記プラズマ処理システム内で、前記プロセスガスからプラズマを形成することと、
    前記基板を前記プラズマにさらすこととを具備する方法。
  2. 前記パッシベーションガスは、炭化水素ガスを含んでいる請求項1に記載の方法。
  3. 前記パッシベーションガスは、C、CH、C、C、C、C、C、C、C、C10、C、C10、C、C10及びC12のうちの少なくとも1つを含んでいる請求項1に記載の方法。
  4. 前記プロセスガスは、さらにヘリウムを含んでいる請求項1、2または3に記載の方法。
  5. 前記基板を前記プラズマに前記さらすことは、第1の期間実行される請求項1に記載の方法。
  6. 前記第1の期間は、終点検出によって決められる請求項5に記載の方法。
  7. 前記終点検出は、光放射分光法を含んでいる請求項6に記載の方法。
  8. 前記第1の期間は、前記ARC層をエッチングする時間に相当し、第2の期間によって延長される請求項5に記載の方法。
  9. 前記第2の期間は、前記第1の期間の一部である請求項8に記載の方法。
  10. 基板上の薄膜をエッチングするための2層マスクを形成する方法であって、
    前記基板上に前記薄膜を形成することと、
    前記薄膜上に、反射防止膜(ARC)を形成することと、
    前記ARC層上にフォトレジストパターンを形成することと、
    アンモニア(NH)及びパッシベーションガスを集合的に含有する1つ以上のガスを含むプロセスガスを用いて、前記ARC層をエッチングすることにより、前記フォトレジストパターンを前記ARC層に転写することとを具備する方法。
  11. 前記パッシベーションガスは、炭化水素ガスを含んでいる請求項10に記載の方法。
  12. 前記パッシベーションガスは、C、CH、C、C、C、C、C、C、C、C10、C、C10、C、C10及びC12のうちの少なくとも1つを含んでいる請求項10に記載の方法。
  13. 前記プロセスガスは、さらにヘリウムを含んでいる請求項10、11または12に記載の方法。
  14. 前記基板の前記エッチングは、第1の期間実行される請求項10に記載の方法。
  15. 前記第1の期間は、終点検出によって決められる請求項14に記載の方法。
  16. 前記終点検出は、光放射分光法を含んでいる請求項15に記載の方法。
  17. 前記第1の期間は、前記ARC層をエッチングする時間に相当し、第2の期間によって延長される請求項14に記載の方法。
  18. 前記第2の期間は、前記第1の期間の一部である請求項17に記載の方法。
  19. 基板上の反射防止膜(ARC)をエッチングするプラズマ処理システムであって、
    プロセスガスからのプラズマの形成を容易にするプラズマ処理チャンバと、
    前記プラズマ処理チャンバに結合され、かつ前記プロセスガスを用いてプロセスレシピを実行するように構成されたコントローラとを備え、
    前記プロセスガスは、アンモニア(NH)を及びパッシベーションガスを集合的に含有する1つ以上のガスを含んでいるシステム。
  20. 前記システムは、前記プラズマ処理チャンバに結合され、かつ前記コントローラに結合された診断システムをさらに具備する請求項19に記載のシステム。
  21. 前記診断システムは、前記プラズマから放射された光と関連付けられた信号を受け取るように構成されている請求項20に記載のシステム。
  22. 前記パッシベーションガスは、炭化水素ガスを含んでいる請求項19に記載のシステム。
  23. 前記パッシベーションガスは、C、CH、C、C、C、C、C、C、C、C10、C、C10、C、C10及びC12のうちの少なくとも1つを含んでいる請求項19に記載のシステム。
  24. 前記プロセスガスは、さらにヘリウムを含んでいる請求項19、22または23に記載のシステム。
  25. 前記コントローラは、前記基板を第1の期間、前記プラズマにさらす請求項20に記載のシステム。
  26. 前記第1の期間は、前記診断システムによって判断される終点検出によって決められる請求項25に記載のシステム。
  27. 前記診断システムは、光放射分光装置を備えている請求項26に記載のシステム。
  28. 前記第1の期間は、前記ARC層をエッチングする時間に相当し、第2の期間によって延長される請求項25に記載のシステム。
  29. 前記第2の期間は、前記第1の期間の一部である請求項28に記載のシステム。
  30. プラズマ処理システム内の基板上の多層マスク内の側壁を滑らかにする方法であって、
    アンモニア(NH)及びパッシベーションガスを集合的に含有する1つ以上のガスを含むプロセスガスを導入することと、
    前記プラズマ処理システム内の前記プロセスガスからプラズマを形成することと、
    前記基板を前記プラズマにさらすこととを備え、
    前記パッシベーションガスは、前記側壁の表面の粗さを滑らかにするために、前記多層マスクの前記側壁上にパッシベーション膜の形成を容易にする方法。
  31. 前記パッシベーションガスは、炭化水素ガスを含んでいる請求項30に記載の方法。
  32. 前記パッシベーションガスは、C、CH、C、C、C、C、C、C、C、C10、C、C10、C、C10及びC12のうちの少なくとも1つを含んでいる請求項30に記載の方法。
  33. 前記プロセスガスは、さらにヘリウムを含んでいる請求項30、31または32に記載の方法。
  34. 反射防止膜と、
    前記反射防止膜上に形成された感光性層であって、前記感光性層及び前記反射防止膜は、それらを介して形態を画成する、感光性層と、
    前記形態の側壁上に形成されたパッシベーション層とを具備する2層マスク。
  35. 前記パッシベーション層は、前記形態の滑らかな側壁を形成する請求項34に記載のマスク。
JP2006508615A 2003-03-31 2004-01-21 多層フォトレジストのドライ現像のための方法及び装置 Pending JP2006522480A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US45843003P 2003-03-31 2003-03-31
US48422503P 2003-05-05 2003-05-05
US10/640,577 US7344991B2 (en) 2002-12-23 2003-08-14 Method and apparatus for multilayer photoresist dry development
PCT/US2004/001405 WO2004095551A1 (en) 2003-03-31 2004-01-21 Method and apparatus for multilayer photoresist dry development

Publications (1)

Publication Number Publication Date
JP2006522480A true JP2006522480A (ja) 2006-09-28

Family

ID=33314234

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006508615A Pending JP2006522480A (ja) 2003-03-31 2004-01-21 多層フォトレジストのドライ現像のための方法及び装置

Country Status (5)

Country Link
EP (1) EP1609175A1 (ja)
JP (1) JP2006522480A (ja)
KR (1) KR100989107B1 (ja)
TW (1) TWI228751B (ja)
WO (1) WO2004095551A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7049052B2 (en) * 2003-05-09 2006-05-23 Lam Research Corporation Method providing an improved bi-layer photoresist pattern
US7700494B2 (en) 2004-12-30 2010-04-20 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US8709706B2 (en) * 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
KR102306612B1 (ko) 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
EP3908882A4 (en) 2020-01-15 2022-03-16 Lam Research Corporation UNDERCOAT FOR PHOTOCOAT ADHESION AND DOSE REDUCTION
WO2021158433A1 (en) * 2020-02-04 2021-08-12 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
US11621172B2 (en) * 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
US20240053684A1 (en) * 2022-08-15 2024-02-15 Tokyo Electron Limited Cyclic Method for Reactive Development of Photoresists

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06196400A (ja) * 1991-12-30 1994-07-15 Sony Corp 反射防止膜の条件決定方法、反射防止膜の形成方法、新規な反射防止膜を用いたレジストパターン形成方法、及び薄膜形成方法
JPH1056001A (ja) * 1996-06-12 1998-02-24 Applied Materials Inc エッチング方法
JPH10270353A (ja) * 1997-03-27 1998-10-09 Hoya Corp 転写マスク及びその製造方法並びにパターン転写方法
JPH11303183A (ja) * 1998-04-27 1999-11-02 Matsushita Electric Ind Co Ltd トイレ装置
JP2000021864A (ja) * 1998-04-27 2000-01-21 Sony Corp 有機系膜の除去方法
JP2001345380A (ja) * 2000-05-31 2001-12-14 Toshiba Corp 半導体装置の製造方法および半導体装置
JP2002509353A (ja) * 1997-12-12 2002-03-26 アプライド マテリアルズ インコーポレイテッド 有機マスク積層体を用いたパターン層の高温エッチング方法
JP2002093778A (ja) * 2000-09-11 2002-03-29 Toshiba Corp 有機膜のエッチング方法およびこれを用いた半導体装置の製造方法
JP2002169302A (ja) * 2000-12-04 2002-06-14 Sony Corp 半導体装置の製造方法
JP2002351092A (ja) * 2001-05-29 2002-12-04 Matsushita Electric Ind Co Ltd エッチング方法
JP2003051495A (ja) * 2001-06-28 2003-02-21 Hynix Semiconductor Inc 半導体素子におけるコンタクト孔の形成方法
JP2003100718A (ja) * 2001-09-26 2003-04-04 Tokyo Electron Ltd エッチング方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3371143B2 (ja) * 1991-06-03 2003-01-27 ソニー株式会社 ドライエッチング方法
JP2002538604A (ja) * 1999-02-26 2002-11-12 トリコン ホールディングス リミティド ポリマー層の処理方法
GB9904427D0 (en) * 1999-02-26 1999-04-21 Trikon Holdings Ltd Method treating an insulating layer
US6841483B2 (en) * 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6599437B2 (en) * 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06196400A (ja) * 1991-12-30 1994-07-15 Sony Corp 反射防止膜の条件決定方法、反射防止膜の形成方法、新規な反射防止膜を用いたレジストパターン形成方法、及び薄膜形成方法
JPH1056001A (ja) * 1996-06-12 1998-02-24 Applied Materials Inc エッチング方法
JPH10270353A (ja) * 1997-03-27 1998-10-09 Hoya Corp 転写マスク及びその製造方法並びにパターン転写方法
JP2002509353A (ja) * 1997-12-12 2002-03-26 アプライド マテリアルズ インコーポレイテッド 有機マスク積層体を用いたパターン層の高温エッチング方法
JPH11303183A (ja) * 1998-04-27 1999-11-02 Matsushita Electric Ind Co Ltd トイレ装置
JP2000021864A (ja) * 1998-04-27 2000-01-21 Sony Corp 有機系膜の除去方法
JP2001345380A (ja) * 2000-05-31 2001-12-14 Toshiba Corp 半導体装置の製造方法および半導体装置
JP2002093778A (ja) * 2000-09-11 2002-03-29 Toshiba Corp 有機膜のエッチング方法およびこれを用いた半導体装置の製造方法
JP2002169302A (ja) * 2000-12-04 2002-06-14 Sony Corp 半導体装置の製造方法
JP2002351092A (ja) * 2001-05-29 2002-12-04 Matsushita Electric Ind Co Ltd エッチング方法
JP2003051495A (ja) * 2001-06-28 2003-02-21 Hynix Semiconductor Inc 半導体素子におけるコンタクト孔の形成方法
JP2003100718A (ja) * 2001-09-26 2003-04-04 Tokyo Electron Ltd エッチング方法

Also Published As

Publication number Publication date
WO2004095551A1 (en) 2004-11-04
KR100989107B1 (ko) 2010-10-25
EP1609175A1 (en) 2005-12-28
KR20050112115A (ko) 2005-11-29
TW200425247A (en) 2004-11-16
TWI228751B (en) 2005-03-01

Similar Documents

Publication Publication Date Title
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
US7732340B2 (en) Method for adjusting a critical dimension in a high aspect ratio feature
US20050221619A1 (en) System and method for etching a mask
US7531461B2 (en) Process and system for etching doped silicon using SF6-based chemistry
US20070190792A1 (en) Method and system for selectively etching a dielectric material relative to silicon
JP2007529899A (ja) エッチング特性を改良するためのハードマスクを処理する方法およびシステム。
JP4594235B2 (ja) Arc層をエッチングする方法
JP2006522480A (ja) 多層フォトレジストのドライ現像のための方法及び装置
US20060051964A1 (en) Method and system for etching a film stack
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
US20070059938A1 (en) Method and system for etching silicon oxide and silicon nitride with high selectivity relative to silicon
US20050136681A1 (en) Method and apparatus for removing photoresist from a substrate
KR20070051846A (ko) 게이트 스택 에칭을 위한 방법 및 시스템
US8048325B2 (en) Method and apparatus for multilayer photoresist dry development
US7767926B2 (en) Method and system for dry development of a multi-layer mask using sidewall passivation and mask passivation
US20050136666A1 (en) Method and apparatus for etching an organic layer
US20070056927A1 (en) Process and system for etching doped silicon

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100224

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100303

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100615

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100915

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100924

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101109

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110309

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110428

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20110729

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111209