JP2002509353A - 有機マスク積層体を用いたパターン層の高温エッチング方法 - Google Patents

有機マスク積層体を用いたパターン層の高温エッチング方法

Info

Publication number
JP2002509353A
JP2002509353A JP2000539519A JP2000539519A JP2002509353A JP 2002509353 A JP2002509353 A JP 2002509353A JP 2000539519 A JP2000539519 A JP 2000539519A JP 2000539519 A JP2000539519 A JP 2000539519A JP 2002509353 A JP2002509353 A JP 2002509353A
Authority
JP
Japan
Prior art keywords
layer
mask
high temperature
pattern
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000539519A
Other languages
English (en)
Inventor
イー,ヤン
アレン ツァオ,
ピーター, チャン−リン シェ,
ダイアナ マー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002509353A publication Critical patent/JP2002509353A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Abstract

(57)【要約】 本発明の開示は、パターンエッチングプロセスが終了した後に残るあらゆる残留マスク層を容易に除去する半導体デバイスの特徴のパターニング方法に関する。この方法により多層マスク構造が得られ、これはパターン化して無機ハードマスクを形成することが可能な高温無機マスク材料を含む層か、又はパターン化して有機ハードマスクを形成可能な高温で像影可能な有機マスク材料を含む層のいずれかの層が上に設けられた高温の有機系マスク材料層を含む。ハードマスク材料は、パターンを高温有機系マスク材料に転写するために用いられ、次いでハードマスク材料が除去される。高温有機系マスク材料は、下地の半導体デバイスの特徴にパターンを転写するために用いられる。

Description

【発明の詳細な説明】
【0001】 (技術分野) 本発明は、銅、プラチナ、イリジウム、ルテニウム、タングステン、チタン酸
バリウムストロンチウムなどの材料をパターンエッチングするための方法に関し
、この方法では一般に、パターンエッチング中に用いるマスク材料の積層体がよ
り高温の状態で安定である必要がある。高温の機能性以外にも、マスク材料の積
層体は、マスク材料の残量物及びエッチング後に特徴表面に残った側壁の堆積物
の量を減少させることもできる。
【0002】 (背景技術) 半導体デバイスの製造分野において、特にデバイスの特徴サイズの小型化の傾
向に伴い、金属相互配線及びコンタクトなどの導電性の特徴を形成するために用
いるエッチングプロセスが特に重要な課題となっている。約0.25μm以下の
範囲の特徴サイズを備えた新しいデバイスでは、パターンエッチング中に輪郭を
正確に形成可能である点と、次の処理中に問題になるか又は長期的に見てデバイ
スの機能に問題を引き起こす可能性があるエッチング後の残留物を残さないよう
にする点の両方が重要となる。
【0003】 我々は以前、パターンエッチング中に、銅層の表面上に形成される残留物の量
を減少させるか又は全く発生させないプラズマエッチングシステムの開発に取り
組んでいた。導電性材料のエッチングに有効なエッチングシステムは、1997
年7月9日に出願された米国特許同時継続出願第08/891,410号及び1
997年8月13日に出願された米国特許同時継続出願第08/911,878
号(代理人事件参照番号AM−2181)に記載されており、これらの出願の内
容は全体として本願明細書に援用されており、更にこれらの出願は共に本願の譲
受人に譲渡されている。
【0004】 我々は同時に、所望のパターンを隣接した層に転写可能なパターンマスクを現
像できるエッチングプロセスの開発に取り組んでおり、これによって、エッチン
グを施した構造上に形成されるマスク残留物が減少するか又はこの残留物がなく
なる。
【0005】 図1Aから1Eは、特徴層のエッチングステップを含む連続ステップに従って
変化し、約150℃を超える温度で有効な典型的なプラズマエッチング積層体を
示す略図的断面図である。このエッチング積層体は、公知のものであり、本発明
よりも前に使用されているものである。図1Aは、通常半導体基板(シリコンウ
ェーハ基板など)上を被膜する導電層か、又は所与のデバイス表面の位置にもよ
るが、半導体材料自体の場合もある基板102と、導電層106と基板102の
間の材料の拡散及び/又はマイグレーションを防止するバリヤ層104と、通常
アルミニウムか又は銅であるが、例えば、タングステン、プラチナ、イリジウム
又はルビジウムの場合もある導電層106と、通常金属含有化合物であり、被膜
パターン層の良好な像影を可能にし、更に導電層106と被膜パターンマスク層
110の間の拡散/マイグレーションを防止するためのバリヤ層として作用する
ことも多い反射防止膜(ARC)層108と、通常導電層106をエッチングす
る間に受ける高温に耐性な二酸化シリコン層か又はそれと同等の無機材料であり
、このエッチング中にパターン化されマスクとして用いられるパターンマスク層
110と、通常低温で安定な有機系の材料であり、より高温で安定なパターンマ
スク層100に用いられるフォトレジスト層112を含む完全な状態のエッチン
グ積層体を示す。図1Aでは、フォトレジスト層112がすでにパターン化され
て、パターンマスク層110に転写したい特徴形状をもつものである。
【0006】 図1Bは、図1Aに記載した積層体を示しているが、ここではフォトレジスト
層112のパターンが、標準的なプラズマエッチング技術を用いて、パターンマ
スク層110に転写されている。マクス層110が、二酸化シリコンなどのシリ
コン含有材料を含む場合、エッチングプラズマは通常、フッ素発生種を含む。プ
ラズマの選択性は、フォトレジスト材料よりも二酸化シリコンに対して優れてい
るものが好ましい。
【0007】 図1Cは、導電層106をエッチングするプロセスにおける次のステップを示
すもので、ここではフォトレジスト層112はパターンマスク層110の表面か
ら除去されている。この除去処理は、湿式の化学除去であってもよいし、又はパ
ターンマスク層110よりもフォトレジスト層112に対して優れた選択性を有
するプラズマエッチングであってもよい。フォトレジスト層112の除去は二つ
の理由のため実行される。フォトレジスト層112に対して通常用いられる有機
系のフォトレジスト材料は、導電層106のエッチング中一般に達する温度で、
溶解又は変形することがある。これにより、導電層106に転写されるパターン
が変形されることにもなる。更に、フォトレジスト層112の表面をエッチャン
トプラズマに晒すことで生じるポリマー種が、導電層106のエッチング中に隣
接する表面を汚染しやすく、それによって導電層106のエッチング速度が低減
してしまう。下地の酸化シリコンパターン層をパターン化するためにフォトレジ
スト材料を用いる手段は、1991年11月19日に発行されたZdebel等の米国
特許第5,067,002号公報に記載されている。Zdebel等は、下地層をエッ
チングしている間にフォトレジスト層で下地表面が汚染しないために、下地層を
エッチングする前にフォトレジスト材料を除去する必要性を述べている。David
Kellerは、1994年9月13日に発行された米国特許第5,346,586号
公報の中で、酸化物ハードマスクの表面からフォトレジストマスクをドライエッ
チング除去するためのオゾンプラズマの使用方法に関して記載している。Keller
氏はまた、多結晶シリコンゲート酸化物のエッチングステップ中にフォトレジス
トが存在しない場合、ゲート酸化物に対して選択的にエッチングしやすいことに
ついても述べている。
【0008】 図1Dは、エッチングプロセスの次のステップを示しており、ここでは所望の
パターンがARC層108、導電層106及びバリヤ層104を介して転写され
ている。通常、これらの層は全て金属を含む層であり、ハロゲン含有プラズマを
用いてこれら三層全てを介してパターンをエッチングしてもよい。ここで、残留
した二酸化シリコンハードマスク材料の除去と、隣接した表面からの二酸化シリ
コンマスク材料の残留堆積物の除去に関する問題がある。残留ハードマスク材料
は、残留マスク層110として存在し、更に残留堆積物は、パターン化された導
電層106の表面と基板102の表面上に堆積物114として存在する。
【0009】 パターン化された導電層106の表面上にある堆積物114の場合、堆積物1
14は、後に導電層106を腐食させることにもつながる堆積物114の下にあ
りパターン化した導電層106の表面にある残留した化学エッチング反応物を捕
らえる。この腐食状態が参照番号116として図1Dに示されている。
【0010】 更に、電界効果トランジスタのゲート速度を上げるために、基板102が低比
誘電率の材料のものである場合、(図1Cに示すように)層108、106及び
104を介してパターンエッチングを施した後に残る残留マスク層110により
デバイス特性が低くなることがある。このため、ARC層108の表面からあら
ゆる残留マスク層110を除去することが重要となる。
【0011】 更に、図1Eに示すように、パターン化された導電層106の表面上に絶縁層
118が設けられる場合、残留マスク層110が除去されなければ、平坦ではな
い表面120が形成されることになる。表面が平坦でないものが形成されると絶
縁層118の表面120上にパターン化された導電層(図示せず)が更に構築さ
れる場合、導電性の多層構造を含むデバイスの構築に関して多くの問題が生じる
【0012】 上記の点を考慮に入れ、我々は、パターン形成プロセスを終了させた後、残留
するマスク層材料を容易に除去できる多層構造を含むパターン形成システムと、
その使用方法の開発を試みた。
【0013】 (発明の開示) 本発明の開示は、パターンエッチングプロセスが終了した後に残るあらゆる残
留マスク層を容易に除去する半導体デバイスの特徴のパターニング方法に関する
。この方法により多層マスク構造が得られ、これはパターン化して無機ハードマ
スクを形成することが可能な高温無機マスク材料を含む層か、又はパターン化し
て有機ハードマスクを形成可能な高温像影可能な有機マスク材料を含む層のいず
れかの層が上に設けられた高温の有機系マスク材料層を含む。ハードマスク材料
は、パターンを高温有機系マスク材料に転写するために用いられ、次いでハード
マスク材料が除去される。高温有機系マスク材料は、下地の半導体デバイスの特
徴にパターンを転写するために用いられる。高温有機系マスク材料は、パターン
化された特徴表面の汚染を減少させるか又は全く汚染されないように、パターン
化された半導体デバイスの特徴表面から除去可能である。
【0014】 本発明により、我々は、約150℃から約500℃の間にある比較的高い温度
で下地層をパターニングでき、更にパターニングプロセス後に残るあらゆる残留
マスク層を容易に除去できる二つのパターニングシステムの開発を行った。
【0015】 第1のパターニングシステムは、高温無機マスク材料を含む層が被膜され、更
にその上にパターニングフォトレジストの層が被膜された高温有機系マスク材料
の層を含む多層マスク構造を用いる。
【0016】 このパターニング方法は、以下のステップを含む。
【0017】 a)フォトレジスト材料層は、当業者に公知の技術を用いてパターンに像影、
現像されて、多層マスク構造全体に、更に最終的には少なくとも1つのデバイス
特徴の層を介して、所望のパターンを転送するために使用可能なパターン化され
たマスクを作成する。
【0018】 b)パターン化されたフォトレジストは、 i)高温無機マスク材料層と、 ii)高温有機系マスク材料層と、 を介してパターンを転写させるために用いられる。
【0019】 高温有機系マスク材料層を介してパターン転写を行うには、異方性プラズマエ
ッチング技術を用いることで、この材料の下側がパターン転写プロセスによって
切り取られないようにすることが好ましい。
【0020】 c)次いで、パターン転写後に起こる残留フォトレジストが、エッチングスト
ップとして高温無機マスク層を用いて、プラズマエッチングにより多層構造から
除去される。フォトレジストは、通常酸素系プラズマエッチングを含む異方性エ
ッチングプロセスを用いて除去される。フォトレジストを異方的に剥離すること
によって、フォトレジスト除去中に高温有機系マスク材料のエッチングを防止す
るか、又は少なくとも実質的に減少させることができる。
【0021】 d)任意に、高温無機マスク材料層は、有機系マスク材料のエッチングを最小
にするためにプラズマエッチング技術又はウェットエッチング技術を用いて、こ
の時点で除去されてもよい。高温無機マスク材料は、特徴層のエッチング中(ス
テップe)自動的に除去されるであろう厚みをもつものが好ましい。
【0022】 e)次いで、パターンが、高温有機系マスク層から高温有機系マスク材料の下
地である少なくとも1つの特徴層を介して転写される。
【0023】 f)次いで、特徴層のパターニング後に残るあらゆる高温有機系マスク材料が
、プラズマエッチング技術を用いて容易に除去される。エッチングされた特徴層
が酸素系プラズマにより腐食又は酸化される場合、水素系プラズマエッチング技
術の使用が好ましい。有機系マスク材料は、パターン化された特徴層表面のパッ
シベーションに有利なように当業者に公知の溶剤を用いたウェット剥離技術によ
り除去されてもよい。
【0024】 特徴層のエッチング中にステップa)で残る残留フォトレジスト材料が全く存
在しないため、高温有機系マスク材料からパターンを下地のデバイス特徴層に転
写中に、溶解又は変形しそうな層が全く存在しない。
【0025】 高温有機系マスク材料が容易に除去されるため、デバイス特性に影響を及ぼし
たり、平坦化を困難にさせる残留マスク層がデバイス構造に全くなくなる。高温
有機系マスク層は、CVD技術を用いて堆積させたα−C及びα−FC膜から形
成されることが好ましい。このような膜を形成するために使用される開始材料の
例は、CH4、C22、CF4、C26、C48、NF3及びその組み合わせを含 み、勿論、多くの他の炭素含有前駆体材料もその例であり、使用可能である。
【0026】 第2のパターニングシステムは、より標準的なフォトレジスト像影層ではなく
、高温パターン像影層を用いる点が、第1のパターニングシステムとは異なる。
フォトレジスト材料が一般に、約150℃以下の温度で安定しているのに比べ、
高温パターン像影層は、約150℃から約500℃の範囲の温度で安定している
。高温パターン像影層は、プラズマ重合メチルシラン(PPMS)などの、十分
なUVで像影させプラズマ現像可能なプラズマ重合材料であることが好ましい。
【0027】 このパターニング方法は、以下のステップを含む。
【0028】 a)高温像影可能な材料層が、当業者に公知の技術を用いてパターンに像影、
現像されて、高温有機系マスク材料を介し、更に最終的には少なくとも1つのデ
バイス特徴の層を介して所望のパターンを転送するために使用可能なパターン化
されたマスクを作成する。
【0029】 b)高温像影可能な材料をパターニングした後、パターンは高温有機系マスク
材料の下地層を介して転写される。パターンは異方性エッチング技術を用いて転
写されることが好ましく、それによって高温有機系マスク材料の下側がパターン
転写ステップで切り取られない。
【0030】 c)次いで、パターンは、高温有機系マスク材料の下地である少なくとも1つ
の特徴層を介して、ステップa)及びステップb)で形成された多層構造から転
写される。パターンは異方性エッチング技術を用いて転写されて、ステップb)
で残ることがあるあらゆる高温像影可能な材料がこのパターン転写ステップ中に
除去されることが好ましい。更に、異方性エッチング技術を用いることによって
、下地のデバイス特徴層にパターンを転写している間、高温有機系材料層の下側
が切り取られる可能性が低減するか又は全くなくなる。
【0031】 d)次いで、パターン転写後に残るあらゆる残留高温有機系マスク材料が、プ
ラズマエッチング技術を用いて容易に除去される。エッチングされた特徴層が酸
素系プラズマによって腐食されるか又は酸化される場合、水素系プラズマエッチ
ング技術が好ましい。
【0032】 このプロセス中には低温残留フォトレジスト材料が全く使用されないため、高
温有機系マスク材料からパターンを下地のデバイス特徴層に転写中に、溶解又は
変形しそうな層が全く存在しない。
【0033】 高温像影可能な材料は、シラン系の開始材料か又はTEOS系(テトラエチル
オルソシリケート系)化学物質を用いて生成可能な種類のものであるが、当業者
は当業者に公知の他の材料から選択するものであってもよい。
【0034】 高温有機系マスク材料は、プラズマエッチング技術を用いるか、又はパターン
化された特徴層表面のパッシベーションに有利になるように当業者に公知の溶剤
を用いることによって、容易に除去可能である材料から選択されることが好まし
い。このような材料の例は、第1のパターニングシステムを参照して上述されて
いる。
【0035】 パターン化されるこのような少なくとも1つのデバイス特徴層が銅層を含む場
合、この銅層は、強化された物理的衝撃技術か又はプラズマエッチング技術のい
ずれかを用いてパターンエッチングされ、水素を十分に発生させてパターニング
中の銅表面を保護することが好ましい。
【0036】 上述したエッチング技術を実行する上で最も経済的な方法は、異なるプラズマ
を組み合わせて用いる方法であり、この方法では、各プラズマを作り出すために
用いられる異なるエッチャントガスが相溶性のものであるため、必要であれば、
エッチングステップの全てのステップが同じエッチングチャンバ内において個々
(別々)のステップで実行可能である。当業者であれば、さまざまな公知のプラ
ズマエッチャントから選択して、寸法及び表面安定性の要求に見合ったエッチン
グされた特徴を形成する経済的に最適なものを得ることが可能である。
【0037】 本発明により、我々は下地層のパターニングを可能にしながら、パターニング
を達成するために使用されるマスク層を容易に除去できる二つのパターニングシ
ステムを開発した。
【0038】 I.定義 詳細な記載の序論として、本願明細書及び添付の請求の範囲で用いられる場合
、単数形「a」、「an」及び「the」は、文脈に明記されていなければ、複
数のものも含むものとする。従って、例えば、「半導体」という用語には、半導
体の特性をもつものとして知られているさまざまな異なる材料が含まれ、「プラ
ズマ」には、RFグロー放電により活性化されるガス又はガス反応物が含まれ、
「導電材料」には、アルミニウム、アルミニウム合金、銅、銅合金、プラチナ、
プラチナ合金、イリジウム、イリジウム合金、ルビジウム、ルテニウム、ルテニ
ウム酸化物、その組み合わせ及び上述した応用に適切である他の導電材料が含ま
れる。
【0039】 本発明の記載において特に重要となる特別な用語を以下に定義する。
【0040】 「α−C」という用語は、プラズマチャンバにおいてCVDにより通常発生す
る高温アモルファス炭素を含む材料を指す。
【0041】 「α−FC」という用語は、プラズマチャンバにおいてCVDにより通常発生
する高温フルオロカーボン材料を指す。
【0042】 「アルミニウム」という用語は、半導体業界で通常使用される種類のアルミニ
ウム合金を含む。この合金は、例えば、アルミニウム・銅合金、アルミニウム・
銅・シリコン合金などを含む。通常、そのようなアルミニウム合金はおよそ0.
5%の銅を含む。
【0043】 「異方性エッチング」という用語は、全ての方向に同じ速度で進まないエッチ
ングのことを指す。エッチングが一方向のみ(例えば、垂直方向のみ)に進めば
、エッチングプロセスは完全に異方性であるとされる。
【0044】 「バイアス電力」という用語は、イオン衝撃エネルギと基板へのイオンの指向
性を制御するために用いられる電力を指す。
【0045】 「銅」という用語は、銅及びその合金を指し、ここでの合金の銅含有率は、少
なくとも80原子%銅である。この合金は3以上の要素成分を含むものであって
もよい。
【0046】 「特徴」という用語は、基板上の金属線及び開口、及び半導体デバイスを形成
する他の構造物を指す。
【0047】 「水素系プラズマ」という用語は、隣接する表面をエッチングすることで生じ
やすい反応種がエッチングされた特徴の外部表面を腐食する度合いを低減するた
めに、水素の含有率を十分に高くしたプラズマを指す。水素系プラズマの好適な
例は、1997年8月13日に出願された米国特許同時継続出願第08/911
,878号に記載されている。通常、水素源以外に水素系プラズマは、フッ素、
塩素、酸素、窒素、炭素又はその組み合わせからなる他の添加物を含むが、ここ
で挙げたものは例示的目的であって、制限を目的としたものではない。
【0048】 「イオン衝撃」という用語は、表面から原子を除去するためのイオン(及びイ
オンと共に存在する他の励起された原子種)による物理的衝撃を指し、ここでは
物理的な運動量移動が用いられて原子を除去している。
【0049】 「等方性エッチング」という用語は、エッチングが全ての方向に同じ速度で進
むことができるエッチングプロセスを指す。
【0050】 「酸素系プラズマ」という用語は、中性又は荷電状態のいずれかで酸素含有率
が高いプラズマを指す。プラズマは、窒素、水素、塩素、フッ素又は炭素からな
る添加物を含んでもよいが、ここに挙げたものは例示的目的であって、制限を目
的としたものではない。CF4、CH4及びNH3などの添加物が一般に使用され る。
【0051】 「プラズマ」という用語は、等しい数の正電荷と負電荷と、他の数のイオン化
されていないガス粒子を含む部分的にイオン化されたガスを指す。
【0052】 「プラズマ重合メチルシラン」という用語は、室温でメチルシランに低電力R
Fプラズマ放電から堆積させた新しい深いUVレジスト材料を指す。この材料は
、アモルファスオルガノシリコン水素化物の網目構造を有する。深いUV(すな
わち、248μm)において最初不伝導性であるが、通常0.25ミクロン厚の
厚い膜は、ガラス状のシロキサン網目構造を形成するために脱色させて効率的な
光酸化を受ける。
【0053】 「電源電力」という用語は、エッチングチャンバ内で直接的か又はマイクロプ
ラズマ発生器の場合は遠隔的に、イオン及び中性のものを発生させるために用い
る電力を指す。
【0054】 「基板」という用語は、半導体材料、ガラス、セラミック、高分子材料及び半
導体業界で使用される他の材料を含む。
【0055】 II. 本発明を実行するための装置 本願に記載する好適な実施形態のエッチングプロセズは、カリフォルニア州サ
ンタクララのアプライドマテリアルズ社から入手可能なセンチュラ(Centura)( 商標)一体型処理システム(Integrated Processing System)で実行された。この
システムは、米国特許第5,186,718号公報に開示及び記載されており、
その内容全体を参照により本願明細書に引用したものとする。この装置は、19
96年5月7日付けの第11回プラズマ処理国際シンポジウムの議事録(Proceed
ings of the Eleventh International Symposium of Plasma Processing)と、電 気化学学会会報(Electrochemical Society Proceedings)、第96−12巻、第2
22から233頁(1996年)において公開されているYan Ye等により
記載された種類の減結合プラズマ源(DPS)を含むものであった。プラズマ処
理チャンバによって、8インチ(200mm)直径のシリコンウェーハの処理が
可能となる。
【0056】 エッチングプロセスチャンバ410を示す図4に処理チャンバの略図が示され
ており、このチャンバには、エッチングプロセスチャンバ410の外部に設けら
れ、無線周波数(RF)電力発生器418に接続された少なくとも1つの誘電コ
イルアンテナ部分412が含まれるように構成されている。プロセスチャンバの
内部には、インピーダンス整合網424を介してRF周波数電力発生器422に
接続される基板414ペデスタル416、及びRF電力が基板ペデスタル416
に印加されることで基板414上に蓄積するオフセットバイアスの電気接地43
4として作用する導電チャンバ壁430がある。
【0057】 半導体基板414はペデスタル416上に配置され、気体成分は入口426を
介してプロセスチャンバ内に供給される。当業界に公知の技術を用いて、プロセ
スチャンバ410内にプラズマが点火される。エッチングプロセスチャンバ41
0の内部圧力は、真空ポンプ(図示せず)とプロセスチャンバガス出口ライン4
28に接続される絞り弁427を用いて制御される。エッチングチャンバ壁の表
面上の温度は、エッチングチャンバ410の壁に配置された液体を含む導管(図
示せず)を用いて制御される。実験では、約150℃を超え約350℃を下回る
基板温度を維持することが好ましく、これは基板ペデスタルに適用する抵抗ヒー
タを用いて行われた。エッチングチャンバ410壁の表面は、前述した冷却導管
を用いて約80℃に維持された。製造プロセスの場合では、基板ペデスタルは基
板の裏側を加熱又は冷却するものが好ましい。
【0058】 III.第1の好適な実施形態のエッチング積層体及びその使用方法 図2Aから2Gは、本発明の方法のステップを施して変化する過程を示した本
発明の第1の好適な実施形態のエッチング積層体を示す。図2Aは、シリコンウ
ェーハ表面(図示せず)を被膜した約1,000オングストロームの厚さの二酸
化シリコンの絶縁層である基板212と、基板212上に設けた約500オング
ストロームの厚みの窒化タンタルを含むバリヤ層214と、バリヤ層214上に
設けられた約8,000オングストロームの厚みの銅を含む層216と、銅層2
16上に設けられたバリヤ層として作用する約500オングストロームの厚みを
もつ窒化タンタルを含む層218と、高密度プラズマCVD技術を用いて窒化タ
ンタル層218上に設けられたα−FCを含む高温有機系パターンマスク材料を
含み、約8,000オングストロームの厚みを形成する層と、高温α−FC層2
20上に設けられる高温無機マスク材料として作用し、約1,000オングスト
ロームの厚みを有する二酸化シリコンパターンマスク材料222と、最後に、高
温無機マスク材料層222の表面上に設けられた約10,000オングストロー
ムの厚みを有するIラインステッパ材料(当業者が一般に使用する材料であれば
どれでも使用可能である)を含むフォトレジスト像影層224とを含む完全な状
態のエッチング積層体を示している。
【0059】 図2Aでは、Iラインフォトレジスト像影層224はすでにパターン化されて
、二酸化シリコンパターンマスク層222と高温有機系マスク層220に転写し
たい特徴形状を備えている。フォトレジスト像影層224の厚みは、高温無機マ
スク層222と高温有機系マスク層224を介してパターンの転写を行っている
間、ほとんど完全に消費されるような厚みである。
【0060】 図2Bは、図2Aに記載したプラズマエッチング積層体を示しており、ここで
はフォトレジスト像影層224のパターンは、高温二酸化シリコン無機パターン
マスク層222とα−FCを含む層220を介して転写されて、窒化タンタルバ
リヤ層218の上面に達する。このパターン転写は、前述したセンチュラ(商標
)一体型処理システムで酸素系プラズマを用いて行われた。二酸化シリコン層2
22をエッチングしている間、プロセスチャンバへのプラズマ供給ガスは、アル
ゴンが約100sccm及びCHF3が30sccmであった。エッチング中の 基板温度は約20℃で、プロセスチャンバ壁が約80℃であった。エッチング中
のプロセスチャンバ圧力は、約10mTであった。プラズマ誘導コイルへの電源
電力は、約1,800W、2MHzであり、基板ペデスタルへのバイアス電力は
、約300W、13.56MHzであった。当業者に標準的な技術を用いてプラ
ズマが点火され、二酸化シリコン層222を介してパターン転写するのに必要な
時間は、約15秒であった。α−FC層220のエッチング中、プロセスチャン
バへのプラズマ供給ガスは、O2が100sccmで、N2が10sccmであっ
た。エッチング中の基板温度は、約20℃で、プロセスチャンバの壁はの温度は
約80℃であった。エッチング中のプロセスチャンバの圧力は、約10mTであ
った。プラズマ誘導コイルへの電源電力は、約1,000W、2MHzであり、
基板ペデスタルへのバイアス電力は、約250W、13.56MHzであった。
α−FC層220を介してパターン転写するのに必要な時間は、約80秒であっ
た。
【0061】 図2Cは、残留しているフォトレジスト像影層224を除去した後の図2Bに
記載したプラズマエッチング積層体を示している。残留フォトレジスト像影層2
24は、O2/N2プラズマを用いて除去された。プロセスチャンバへのプラズマ
供給ガスは、O2が100sccmで、N2が10sccmであった。エッチング
中の基板温度は、約20℃で、プロセスチャンバの壁はの温度は約80℃であっ
た。エッチング中のプロセスチャンバの圧力は、約10mTであった。プラズマ
誘導コイルへの電源電力は、約1,000W、2MHzであり、基板ペデスタル
へのバイアス電力は、約250W、13.56MHzであった。当業者に標準的
な技術を用いてプラズマが点火され、残留フォトレジスト層の除去にかかる時間
は、約20秒であった。二酸化シリコンを含む下地層222は、高温有機系層2
20に対するエッチングストップとして用いられるのに対し、窒化タンタルバリ
ヤ層218は、銅層216を保護するエッチングストップとして使用された。上
述したプラズマ及びプロセス条件を用いることで、フォトレジスト像影層224
の異方性剥離が達成されるため、高温α−FCマスク層220の下側は、残留フ
ォトレジスト像影層224を除去している間に切り取られない。一般に、ほとん
どのフォトレジスト材料を除去するためにはどのような酸化プラズマでも使用可
能である。
【0062】 図2Dは、任意のステップを示しており、ここでは二酸化シリコンを含む層2
22が除去されてもよい。しかしながら、特徴層216が金属(銅)を含む本願
の応用などのほとんどの応用では、二酸化シリコン層222の厚みが適切なもの
であれば、この層は特徴層216のパターニング中に自動的に除去されるものと
される。
【0063】 図2Eは、窒化タンタルバリヤ層218、銅層216、窒化タンタルバリヤ層
214を介して二酸化シリコン絶縁層212の上面までパターン転写した後のプ
ラズマエッチング積層体を示している。導電性の銅層216とそれに伴いバリヤ
層218と214のこのようなエッチングは、HClが70sccmであり、N 2 が50sccmであり、BCl3が5sccmであるプロセスチャンバへの供給
ガスを用いて行われる。エッチング中の基板温度は、約250℃で、プロセスチ
ャンバの壁の温度は約80℃であった。エッチング中のプロセスチャンバの圧力
は、約20mTであった。プラズマ誘導コイルへの電源電力は、約1,500W
、2MHzであり、基板ペデスタルへのバイアス電力は、約600W、13.5
6MHzであった。窒化タンタルバリヤ層214を通るエッチングの終点は、約
3,590オングストロームの波長で測定するセンサを用いて光学的にモニタす
ることによって測定された。窒化タンタルバリヤ層218、銅層216、窒化タ
ンタルバリヤ層214を介してパターン転写するのにかかる時間は、約150秒
であった。銅の腐食を避けるために、銅の特徴層216をパターニングしている
間、水素系エッチングの化学物質が用いられる。従来の酸素及びフッ素系化学物
質を用いると、酸化/腐食を引き起こす可能性がある。
【0064】 α−FC層220、窒化タンタル218、銅層216及び窒化タンタル層21
4、更に採用するエッチング条件にもよるが、CD(限界寸法)制御を行うため
にエッチングプロセスの最後に残るα−FC層220は十分な量をもつべきであ
る。従って、このα−FC層の残りの部分を除去するのに別のプロセスが必要と
なる。α−FC層を剥離するためのプロセスは、特徴パターニングエッチングチ
ャンバか又は下流のプラズマチャンバで実行されてもよい。
【0065】 図2Fは、α−FC層220の残りの部分を除去した後のパターン化された特
徴層216と、それに伴うバリヤ層214と218を示す。α−FC層220は
、上述した種類の水素系化学物質を用いる異方性剥離プロセスか、又はエッチン
グされた銅特徴表面のパッシベーションを促す溶剤を用いるウェット剥離プロセ
スを用いて除去されることが好ましい。ここでは、異方性ドライ剥離技術が使用
され、プロセスチャンバへの供給ガスはH2が100sccmであった。エッチ ング中の基板温度は、約45℃で、プロセスチャンバの壁の温度は約80℃であ
った。エッチング中のプロセスチャンバの圧力は、約10mTであった。プラズ
マ誘導コイルへの電源電力は、約1,000W、2MHzであり、基板ペデスタ
ルへのバイアス電力は、約200W、13.56MHzであった。α−FC層2
20の残りの部分を剥離するのにかかる時間は、約120秒であった。
【0066】 図2Gは、パターン化した窒化タンタル層218、銅層216、窒化タンタル
層214及び二酸化シリコン基板212の上側にα−C又はα−FCなどの誘電
率が低い材料を含む平坦化層230を設けた状態を示している。平坦化層は、図
1Eに示した従来の技術の平坦化層に見られる平坦ではない表面120に比べ、
この表面は真に平坦な状態であった。α−C又はα−FCは、当業者に公知の蒸
着技術を用いて適用されることが好ましいが、例えば、スピンオン技術を用いる
ことも可能である。
【0067】 当業者は認識しているであろうが、二酸化シリコン以外の高温無機マスク材料
を高温有機を含むマスク材料を被膜するキャップ層として使用することが可能で
ある。更に、当業者には認識されていることであろうが、例えば、α−C、ポリ
イミド、パリレン、テフロンなどα−FC以外の高温有機系マスク材料を使用す
ることも可能である。シリコンオキシナイトライド、タンタル、窒化チタン、チ
タンタングステン、窒化タングステンなどの窒化タンタル以外の反射防止/バリ
ヤ層材料を用いることも可能である。最後に、この方法は、導電材料として銅を
用いるデバイス特徴のエッチングに限定するものではない。例えば、タングステ
ン、プラチナ、銀、金、イリジウム及びルテニウムなどの他の導電材料も同様に
使用可能である。
【0068】 IV.第2の好適な実施形態のエッチング積層体及びその使用方法 図3Aから3Gは、本発明の第2の好適な実施形態のエッチング積層体と、本
発明の方法のステップを施して進行する過程を示したものである。図3Aは、シ
リコンウェーハ表面(図示せず)を被膜する約10,000オングストロームの
厚みの二酸化シリコンを含む絶縁層である基板312と、基板312上に堆積さ
れた約500オングストロームの厚みの窒化タンタルを含むバリヤ層314と、
バリヤ層314の上に堆積された約8,000オングストロームの厚みの銅を含
む層316と、銅層216の上に堆積された約500オングストロームの厚みの
窒化タンタルを含む層218と、高密度プラズマCVD技術を用いて窒化タンタ
ル層218上に堆積されたα−FCを含む高温有機系パターンマスク材料を含み
、約8,000オングストロームの厚みを形成する層と、最後に、室温でメチル
シランに低電力RFプラズマ放電から堆積されたプラズマ重合メチルシラン(P
PMS)を含み、約1,000オングストロームの厚みを形成する層322とを
含む完全な状態のエッチング積層体を示している。
【0069】 次に、PPMS層は、図3Bに示すように、PPMS層322内にガラス状の
シロキサンパターン324を形成するために脱色させて強度のUVに晒した。
【0070】 図3Cは、当業者に公知の技術で塩素プラズマエッチング(T.W. Weidman等の 感光性樹脂科学技術ジャーナル(Journal of Photopolymer Science and Technol
ogy)、第8巻、第4号、第679から686頁(1995年)に記載されてい る)を用いて現像された、PPMS高温像影層324のパターン現像を示してい
る。
【0071】 次に、図3Dに示すように、下地α−FC層320が、図2Bに対して上述し
たように酸素系プラズマを用いてエッチングされ、図2Bではα−FC層220
がパターン化された。α−FC層320を介したパターン転写にかかる時間は、
約80秒であった。酸素系プラズマ化学物質は、高温像影可能な材料(PPMS
)層322と窒化タンタルバリヤ層318から形成されたパターン化された二酸
化シリコン324が、α−FC層320のエッチング中に化学反応を起こさない
ものが選択された。上記に参照した酸素系エッチング条件によって、異方性エッ
チングの条件が得られるため、パターン現像中にα−FC層320の下側の切り
取りを避けることができた。
【0072】 図3Eは、窒化タンタルバリヤ層318、銅層316、窒化タンタルバリヤ層
314から二酸化シリコン絶縁層312の上面までのパターン転写を示している
。導電性の銅層316とこれに伴うバリヤ層318と314のこのようなエッチ
ングは、図2Eを参照して上述した方法を用いて行った。
【0073】 α−FC層320、窒化タンタル318、銅層316及び窒化タンタル層31
4、更に採用するエッチング条件にもよるが、CD(限界寸法)制御を行うため
にエッチングプロセスの最後に残るα−FC層320は十分な量をもつべきであ
る。従って、このα−FC層の残りの部分を除去するのに別のプロセスが必要と
なる。α−FC層を剥離するためのプロセスは、特徴パターニングエッチングチ
ャンバか又は下流のプラズマチャンバで実行されてもよい。
【0074】 図3Fは、α−FC層320の残りの部分を除去した後のパターン化された特
徴層316と、それに伴うバリヤ層318と314を示す。α−FC層320は
、上述した種類の水素系化学物質を用いる異方性剥離プロセスか、又はエッチン
グされた銅特徴表面のパッシベーションを促す溶剤を用いるウェット剥離プロセ
スを用いて除去されることが好ましい。ここでは、図2Fを参照して記載したよ
うに、異方性ドライ剥離技術が使用された。
【0075】 図3Gは、パターン化した窒化タンタル層318、銅層316、窒化タンタル
層314及び二酸化シリコン基板312の上側にα−C又はα−FCなどの誘電
率が低い材料を含む平坦化層328を設けた状態を示している。平坦化層は、図
1Eに示した従来の技術の平坦化層に見られる平坦ではない表面に比べ、この表
面は真に平坦な状態であった。α−C又はα−FCは、当業者に周知の蒸着技術
を用いて適用されることが好ましいが、例えば、スピンオン技術を用いることも
可能である。
【0076】 当業者には認識されていることであろうが、PMMS以外の高温像影可能なマ
スク材料を高温有機を含むマスク材料へのパターン転写の層として用いることが
可能である。更に、当業者には認識されていることであろうが、すでに挙げたよ
うな(制限を目的としたものではない)他の高温有機系マスク材料、ARC材料
、バリヤ層材料及び導電性材料を使用することができる。
【0077】 銅が導電性材料として使用される場合、すでに参照した米国特許出願第08/
891,410号及び第08/911,878号に記載されたエッチング方法を
、本願に記載した本発明の方法と組み合わせて用いることが好ましいことについ
て述べておくことが重要である。
【0078】 特に、米国特許出願第08/891,410号には、化学系のエッチング成分
を必要とせずに、イオン衝撃などの物理ベースのみを利用するエッチングプロセ
ズを用いて、隣接する材料に対して採用可能な速度及び選択性で銅のパターンエ
ッチングが可能なことについて記載されている。
【0079】 第1の好適な強化された物理的衝撃技術では、基板表面を打つイオン化種のイ
オン密度及び/又はイオンエネルギを増大させる必要がある。イオン密度は、基
板表面上のエッチングチャンバ内にデバイスを配置し、このデバイスによって基
板表面を打つイオン化粒子の数が増えることによって増大されることが好ましい
。このデバイスの例は誘電コイルであり、これを用いてイオン化種の数を増やす
か又は別の供給源によって供給されるイオン化種の数を維持して、基板表面を打
つイオン化種の数を更に増やす。
【0080】 イオン化種の数を増大させるための第2の好適な方法は、チャンバの外側で発
生したマイクロ波発生プラズマをプロセスチャンバ内に供給することである。R
F電力を外部の誘導結合コイルに増大させることによってイオン化種の数を増や
すか、又はDC電力をイオン種発生の容量結合源に増大させることも可能である
。しかしながら、これら最後の二つの技術は、エッチング中に発生した銅(及び
合金金属)原子が外部のコイル特性に影響を及ぼし、更に容量結合種発生はあま
り効率的でないため、イオン密度を増大させる方法としてはあまり好適なもので
はない。イオンエネルギとは、イオンが基板表面を打つときのイオンのエネルギ
を意味する。第2の好適な強化された物理的衝撃技術は、イオンエネルギを(基
板が悪影響を受ける限界まで)増大する。イオンエネルギは、イオン化種を基板
の方向に引き付ける基板上にかけたオフセットバイアスを大きくすることによっ
て、増大させてもよい。これは、RF電力を基板が載る台に増大させることによ
って通常行われる。バイアス電力の増大の効果は、RF周波数と、基板表面領域
に対するバイアス接地領域の比率に左右される。更に、イオンエネルギは、より
低い電圧でエッチングプロセスチャンバを動作させることによって増大される。
【0081】 第3の強化された物理的衝撃技術は、イオン密度又はイオンエネルギのパルシ
ングである。イオンエネルギをパルス伝送する1つの好適な手段は、イオン種を
発生させるか、又は基板表面を打つことが可能なイオン化種を増大させるか維持
するために用いられるデバイスに、電力をパルス伝送することである。このよう
なパルシングは、プロセスチャンバ内の内部に配置されたデバイスにかけられる
ことが好ましい。パルシングは、外部で発生したプラズマのプロセスチャンバ内
への送りになる場合もある。あまり好ましくはないが、パルシングは、プラズマ
発生の外部誘導結合源か又はプラズマ発生の容量結合源へかけられる場合もある
。イオンエネルギをパルス伝送するためのより好適な手段は、基板に印加される
オフセットバイアス源に電力をパルス伝送することによって行われる。イオンエ
ネルギをパルス伝送することによって、エッチング中に銅表面に残る励起された
銅イオンが隣接した位置の銅表面に再度付着する可能性が低くなる。プロセス容
器の圧力もイオンエネルギをパルス伝送する手段としてパルスにされてもよい。
【0082】 第4の強化された物理的衝撃技術は、熱伝達を用いることである。基板表面の
温度がエッチングチャンバの表面(壁)の温度よりも高いことで、より高い温度
の基板表面から離れた粒子が、より低い温度のチャンバ表面へと引き付けられる
場合、熱伝達が生じる。
【0083】 化学反応イオン成分の濃度が、エッチングが物理的衝撃が主のエッチング法で
実行されるほど十分に低い限り、物理的イオン衝撃と化学反応イオン成分を組み
合わせて使用することも可能である。この組み合わせ技術は、約150℃を超え
る温度と、約50mTを下回る圧力で実行されることが好ましい。物理的衝撃に
よって更にエネルギが揮発性化学反応発生化合物の形成に加えられるため、銅の
除去速度は、揮発性の化合物の形成速度と、この揮発性化合物の除去を容易にす
る低いプロセスチャンバ圧力能力にのみ限定されるものではない。物理的イオン
衝撃を主としてエッチングプロセズを行う場合、プロセスチャンバの圧力が調整
されると、イオン衝撃を増大させることができる。全体的な相乗効果が生じ、銅
原子の除去速度が上がる。好適な化学反応イオン種は、Cl2、HCL,BCl3 、HBr、CHF3、CF4、SiCl4及びその組み合わせなどの分子量が小さ いハロゲンを含む種又は化合物である。塩素を含む種が用いられる場合、エッチ
ングチャンバへの供給ガスにある塩素を含む成分は、パターン化銅のエッチング
中、エッチングチャンバ内へ供給されるガスの30体積%より小さくすべきであ
る。N2、NH3及びCH4などのパッシベーション剤は、化学反応イオン種と組 み合わせて用いられてもよい。米国特許同時継続出願第08/891,410号
の内容全体を本願明細書に引用したものとする。
【0084】 米国特許出願第08/911,878号には、エッチングプロセス中に銅の腐
食を保護するように、HCl及びHBr化学物質を用いる代替銅エッチング技術
が記載されている。特に、エッチングされる銅の特徴表面がエッチング中に適切
に保護されていれば、所望の特徴寸法及び保全性を与えながら、HCl又はHB
rの存在下で銅をパターンエッチングすることができる。エッチングされた銅表
面の内部に腐食剤として作用する可能性がある反応種を捕らえないようにするた
めに、水素がこの表面に適用される。水素は銅の外面に吸収され、銅の外面内に
吸収されることもあるため、銅の外面に浸透し内部から外面へ銅と反応する可能
性のある種と反応させるように用いることができる。隣接した特徴表面のエッチ
ングに伴い生じる反応種がそれよりも前にエッチングされた特徴外面に浸透しな
いようにするためには、銅の特徴のエッチングされた部分の外面に対して十分な
水素を適用しなければならない。
【0085】 水素を十分な量発生させることが可能な水素を含むプラズマ供給ガス成分であ
ればどれを使用してもよいが、本発明の最も好適な実施形態では、水素とハロゲ
ンの両方を含む成分を用いている。好適な例は、塩化水素(HCl)及び/又は
臭化水素(HBr)であり、これらは銅をエッチングするための反応種の主要な
供給源として用いられる。HCl及び/又はHBrを分解するとエッチングされ
た銅表面を保護する大量の水素が発生することによって、エッチングされた表面
に隣接する反応種の浸透を防ぐことができる。エッチングプロセスチャンバ内の
反応種の密度が特に高い場合は、HCl及び/又はHBrを含むプラズマ供給ガ
スに水素ガスを更に加えてもよい。他のプラズマエッチング種と組み合わせて、
水素を含まないハロゲンを含むプラズマ供給ガス成分を添加剤(40%未満のプ
ラズマ発生反応種を発生)として使用してもよい。
【0086】 HCl及び/又はHBrが銅エッチングの反応種の主要源として用いられる場
合、HCl又はHBrは、プラズマで発生した反応種の少なくとも40%を占め
ており、更に好ましくは少なくとも50%を占めている。エッチング中の特徴表
面のパッシベーションとしてか、又は特徴表面のエッチング終了後か又はエッチ
ングの終了間際の特徴表面の保護として、他の反応種を用いてもよい。銅の特徴
をエッチングしている間、表面のパッシベーション又は表面の保護を目的として
添加する種は、プラズマ発生反応種を30%以下含み、更に好ましくは10%以
下含む。例を挙げると、プラズマ供給ガスに添加する場合の付加ガスは、CH4 、CH3F、BCl3、N2、NH3、SiCl4、CCl4及びCHF3を含む。プ ラズマ供給ガスは、イオン化又は分解を促進させるか又は反応種を希釈するため
に、アルゴン、ヘリウム又はキセノンなどの不活性(銅と反応しない)ガスを更
に含んでもよい。重要な特徴は、エッチングプロセズ中、特徴表面にある水素を
利用可能な点である。米国特許出願第08/911,878号は全体として本願
明細書に援用されている。
【0087】 上述した好適な実施形態は、本発明の範囲を限定するためのものではなく、当
業者であれば、本願明細書の開示を考慮して請求の範囲の本発明の手段に対応す
る実施形態を発展させることが可能である。
【図面の簡単な説明】
【図1】 A〜Eは、エッチング積層体が一連のプロセスステップを施して変化する過程
を示したプラズマエッチングに有益な従来の多層構造(プラズマエッチング積層
体)を示した略図的断面図である。このエッチング積層体は、一般に、デバイス
特徴の導電材料層をエッチングするために用いられる。
【図2】 Aは、本発明の第1の好適な実施形態であるプラズマエッチング積層体を示す
略図的断面図であり、B〜Gは、本発明の方法のステップを用いて変化するエッ
チング積層体の変化の状態を示す図である。
【図3】 Aは、本発明の第2の好適な実施形態であるプラズマエッチング積層体を示す
略図的断面図であり、B〜Gは、本発明の方法のステップを用いて変化するエッ
チング積層体の変化の状態を示す図である。
【図4】 本願に記載するプラズマエッチングステップを実行するために使用可能なプロ
セスチャンバとその付属装置を示す略図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ツァオ, アレン アメリカ合衆国, カリフォルニア州, マウンテン ヴュー, ホウィッツ ロー ド 117 (72)発明者 シェ, ピーター, チャン−リン アメリカ合衆国, カリフォルニア州, サン ノゼ, レジェンシー ノール ド ライヴ 1071 (72)発明者 マー, ダイアナ アメリカ合衆国, カリフォルニア州, サラトガ, キルト コート 19600 Fターム(参考) 5F004 AA09 AA16 BB11 BB26 CA02 CA04 CA06 DA00 DA01 DA04 DA05 DA11 DA13 DA16 DA22 DA23 DA25 DA26 DA29 DB08 DB12 DB26 DB27 EA02 EA03 EA06 EA22 EA23 EA28 EB02 5F058 AD02 AD08 AD10 AF02 AG04 AH02 BC02 BD04 BD19 BH12 BJ02

Claims (45)

    【特許請求の範囲】
  1. 【請求項1】 半導体デバイス特徴のパターニング方法であって、 (a) パターン化されたフォトレジスト層から、高温無機マスク材料層を介
    してパターンを転写するステップと、 (b) ステップ(a)後に残る多層構造から、高温有機系マスク材料を含む
    下地層を介して前記パターンを転写するステップと、 (c) ステップ(b)後に残るあらゆるフォトレジスト材料を除去するステ
    ップとを含む半導体デバイス特徴のパターニング方法。
  2. 【請求項2】 (d) ステップ(c)の後に存在する多層構造から、前記
    高温有機系マスク材料の下地である少なくとも1つの特徴層を介して前記パター
    ンを転写する、 追加のステップを含む請求項1記載の方法。
  3. 【請求項3】 (e) 前記特徴層の表面から残留する高温有機系マスク層
    材料を除去する、 追加のステップを含む請求項2記載の方法。
  4. 【請求項4】 (f) トランジスタのゲート速度を上げるために有利な誘
    電率を有する、有機系材料の平坦化層を適用する 追加のステップを更に含む請求項3記載の方法。
  5. 【請求項5】 (c−2) ステップ(c)後に残る前記高温無機マスク材
    料のあらゆる部分を除去する、 ステップ(c)に続く追加のステップを含む請求項1記載の方法。
  6. 【請求項6】 前記高温有機系マスク材料を介する前記ステップ(b)の転
    写は、異方性プラズマエッチングを用いて達成される請求項1記載の方法。
  7. 【請求項7】 前記ステップ(c)のフォトレジストの除去は、異方性エッ
    チングを発生するように酸素系プラズマを用いて達成される請求項1記載の方法
  8. 【請求項8】 前記ステップ(d)のパターン転写は、異方性エッチングを
    発生するようにして達成される請求項2記載の方法。
  9. 【請求項9】 少なくとも1つの特徴層は銅を含む請求項2記載の方法。
  10. 【請求項10】 前記銅は強化物理的衝撃を用いてエッチングされる請求項
    9記載の方法。
  11. 【請求項11】 前記銅は、強化物理的衝撃と化学反応イオン成分の組み合
    わせを用いてエッチングされる請求項9記載の方法。
  12. 【請求項12】 前記銅は、HCl化学物質、HBr化学物質又はその組み
    合わせからなる群から選択された化学物質を用いてエッチングされる請求項9記
    載の方法。
  13. 【請求項13】 前記高温無機マスク材料の厚みは、ステップ(d)のパタ
    ーン転写中にこの材料が消費されるようなものである請求項8記載の方法。
  14. 【請求項14】 前記高温有機系マスク層材料は、異方性エッチングを発生
    するようにして除去される請求項3記載の方法。
  15. 【請求項15】 前記高温有機系マスク層材料は、強化物理的衝撃と化学反
    応イオン成分の組み合わせを用いて除去される請求項3記載の方法。
  16. 【請求項16】 前記高温有機系マスク層材料は、HCl化学物質、HBr
    化学物質又はその組み合わせからなる群から選択される化学物質を用いて除去さ
    れる請求項3記載の方法。
  17. 【請求項17】 前記高温有機系マスク層材料は、ウェット剥離技術を用い
    て除去される請求項3記載の方法。
  18. 【請求項18】 前記ウェット剥離技術は、前記特徴層の少なくとも1つの
    表面にパッシベーションを形成する請求項17記載の方法。
  19. 【請求項19】 ステップ(a)の前に、前記高温有機系マスク層が、プラ
    ズマ系技術を用いて堆積される追加のステップを含む請求項1記載の方法。
  20. 【請求項20】 ステップ(a)の前に、前記高温有機系マスク層が、CV
    D技術を用いて堆積される追加のステップ(x)を含む請求項1記載の方法。
  21. 【請求項21】 半導体デバイス特徴のパターニング方法であって、 (a) プラズマエッチング技術を用いて高温像影可能な材料の像影された層
    をパターンに現像し、下地層を介して所望のパターンを転写するために使用可能
    なパターンマスクを作成するステップと、 (b) 高温有機系マスク材料を含む下地層を介してステップa)で形成され
    たパターンを転写するステップと、 を含む半導体デバイス特徴のパターニング方法。
  22. 【請求項22】 (c) ステップ(b)後に存在する多層構造から、前記
    高温有機系マスク材料の下地である少なくとも1つの特徴層を介して前記パター
    ンを転写する追加のステップを含む請求項21記載の方法。
  23. 【請求項23】 (d) 前記特徴層の表面から残留する高温有機系マスク
    層材料を除去する追加のステップを含む請求項22記載の方法。
  24. 【請求項24】 (e) トランジスタのゲート速度を上げるために有利な
    誘電率を有する有機系材料を含む平坦化層を適用する追加のステップを含む請求
    項23記載の方法。
  25. 【請求項25】 前記高温有機系マスク材料を介する前記ステップ(b)の
    転写は、異方性プラズマエッチングを用いて達成される請求項21記載の方法。
  26. 【請求項26】 前記ステップ(d)のマスク層材料の除去は、異方性エッ
    チングを発生するようにして達成される請求項23記載の方法。
  27. 【請求項27】 少なくとも1つの特徴層は銅を含む請求項23記載の方法
  28. 【請求項28】 前記銅は強化物理的衝撃を用いてエッチングされる請求項
    27記載の方法。
  29. 【請求項29】 前記銅は、強化物理的衝撃と化学反応イオン成分の組み合
    わせを用いてエッチングされる請求項27記載の方法。
  30. 【請求項30】 前記銅は、HCl化学物質、HBr化学物質又はその組み
    合わせからなる群から選択された化学物質を用いてエッチングされる請求項27
    記載の方法。
  31. 【請求項31】 前記高温無機マスク材料の厚みは、ステップ(c)のパタ
    ーン転写中にこの材料が消費されるようなものである請求項23記載の方法。
  32. 【請求項32】 前記高温有機系マスク層材料は、異方性エッチングを発生
    するようにして除去される請求項23記載の方法。
  33. 【請求項33】 前記高温有機系マスク層材料は、強化物理的衝撃と化学反
    応オン成分の組み合わせを用いて除去される請求項23記載の方法。
  34. 【請求項34】 前記高温有機系マスク層材料は、HCl化学物質、HBr
    化学物質又はその組み合わせからなる群から選択された化学物質を用いて除去さ
    れる請求項23記載の方法。
  35. 【請求項35】 前記高温有機系マスク層材料は、ウェット剥離技術を用い
    て除去される請求項23記載の方法。
  36. 【請求項36】 前記ウェット剥離技術は、前記特徴層の少なくとも1つの
    表面にパッシベーションを形成する請求項35記載の方法。
  37. 【請求項37】 ステップ(a)の前に、前記高温像影可能な材料はシラン
    系か又はTEOS系の化学物質を用いて堆積される追加のステップ(x)を含む
    請求項21記載の方法。
  38. 【請求項38】 ステップ(x)の前に、前記高温有機系マスク層は、プラ
    ズマ系技術を用いて堆積される追加のステップ(y)を含む請求項37記載の方
    法。
  39. 【請求項39】 ステップ(x)の前に、前記高温有機系マスク層は、CV
    D技術を用いて堆積される追加のステップ(z)を含む請求項37記載の方法。
  40. 【請求項40】 半導体の特徴をエッチングするためのマスク積層体であっ
    て、 (a) 低温像影可能な材料層と、 (b) 前記低温像影可能な材料の下地である高温無機材料層と、 (c) 前記高温無機材料の下地である高温有機系材料層とを含む半導体の特
    徴をエッチングするためのマスク積層体。
  41. 【請求項41】 前記高温有機系層は、α−C又はα−FC材料を含む請求
    項40記載のマスク積層体。
  42. 【請求項42】 前記α−C又はα−FC層は、CVD技術を用いて形成さ
    れる請求項41記載のマスク積層体。
  43. 【請求項43】 半導体の特徴をエッチングするためのマスク積層体であっ
    て、 (a) 高温像影可能な材料層と、 (b) 前記高温像影可能な材料の下地である高温有機系材料層とを含む半導
    体の特徴をエッチングするためのマスク積層体。
  44. 【請求項44】 前記高温像影可能な層は、シラン系開始材料から形成され
    るか又はTEOS系科学物質を用いて形成される請求項43記載のマスク積層体
  45. 【請求項45】 前記高温有機系層は、α−Cか又はα−FC材料を含む請
    求項44記載のマスク積層体。
JP2000539519A 1997-12-12 1998-12-04 有機マスク積層体を用いたパターン層の高温エッチング方法 Withdrawn JP2002509353A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/991,219 1997-12-12
US08/991,219 US6143476A (en) 1997-12-12 1997-12-12 Method for high temperature etching of patterned layers using an organic mask stack
PCT/US1998/025699 WO1999031718A1 (en) 1997-12-12 1998-12-04 Method for high temperature etching of patterned layers using an organic mask stack

Publications (1)

Publication Number Publication Date
JP2002509353A true JP2002509353A (ja) 2002-03-26

Family

ID=25536991

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000539519A Withdrawn JP2002509353A (ja) 1997-12-12 1998-12-04 有機マスク積層体を用いたパターン層の高温エッチング方法

Country Status (5)

Country Link
US (2) US6143476A (ja)
EP (1) EP1038310A1 (ja)
JP (1) JP2002509353A (ja)
KR (2) KR20010033061A (ja)
WO (1) WO1999031718A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006522480A (ja) * 2003-03-31 2006-09-28 東京エレクトロン株式会社 多層フォトレジストのドライ現像のための方法及び装置
US8048325B2 (en) 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
JP2016105461A (ja) * 2014-10-09 2016-06-09 ラム リサーチ コーポレーションLam Research Corporation 銅バリア膜をエッチングするための新規方法

Families Citing this family (368)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008140A (en) * 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6107191A (en) * 1997-11-07 2000-08-22 Lucent Technologies Inc. Method of creating an interconnect in a substrate and semiconductor device employing the same
US6417569B1 (en) * 1997-12-11 2002-07-09 Taiwan Semiconductor Manufacturing Company Fluorine-doped silicate glass hard mask to improve metal line etching profile
US6169664B1 (en) * 1998-01-05 2001-01-02 Texas Instruments Incorporated Selective performance enhancements for interconnect conducting paths
US6919168B2 (en) * 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6660647B1 (en) * 1998-03-12 2003-12-09 Hitachi, Ltd. Method for processing surface of sample
US6352937B1 (en) * 1998-04-27 2002-03-05 Sony Corporation Method for stripping organic based film
JP3219056B2 (ja) * 1998-08-12 2001-10-15 日本電気株式会社 有機絶縁膜の加工方法
US6093973A (en) * 1998-09-30 2000-07-25 Advanced Micro Devices, Inc. Hard mask for metal patterning
JP4212707B2 (ja) * 1998-11-26 2009-01-21 スピードファム株式会社 ウエハ平坦化システム及びウエハ平坦化方法
US6277745B1 (en) * 1998-12-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Passivation method of post copper dry etching
US6291339B1 (en) * 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Bilayer interlayer dielectric having a substantially uniform composite interlayer dielectric constant over pattern features of varying density and method of making the same
EP1020927A1 (en) * 1999-01-13 2000-07-19 Lucent Technologies Inc. Thin film capacitor comprising a barrier layer between a tantalum pentoxide layer and a copper layer
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
JP3330554B2 (ja) * 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
WO2000049651A1 (en) * 1999-02-17 2000-08-24 Applied Materials, Inc. Improved masking methods and etching sequences for patterning electrodes of high density ram capacitors
JP2000252359A (ja) * 1999-03-03 2000-09-14 Sony Corp 絶縁膜のエッチング方法および配線層の形成方法
US6156642A (en) * 1999-03-23 2000-12-05 United Microelectronics Corp. Method of fabricating a dual damascene structure in an integrated circuit
US6169029B1 (en) * 1999-05-03 2001-01-02 Winband Electronics Corp. Method of solving metal stringer problem which is induced by the product of tin and organic ARC reaction
US6355979B2 (en) * 1999-05-25 2002-03-12 Stmicroelectronics, Inc. Hard mask for copper plasma etch
US6399284B1 (en) * 1999-06-18 2002-06-04 Advanced Micro Devices, Inc. Sub-lithographic contacts and vias through pattern, CVD and etch back processing
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6368514B1 (en) * 1999-09-01 2002-04-09 Luminous Intent, Inc. Method and apparatus for batch processed capacitors using masking techniques
US20050158666A1 (en) * 1999-10-15 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple etch method for etching material etchable with oxygen containing plasma
US6268287B1 (en) * 1999-10-15 2001-07-31 Taiwan Semiconductor Manufacturing Company Polymerless metal hard mask etching
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6261967B1 (en) * 2000-02-09 2001-07-17 Infineon Technologies North America Corp. Easy to remove hard mask layer for semiconductor device fabrication
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US7115523B2 (en) 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
JP3403373B2 (ja) * 2000-05-26 2003-05-06 松下電器産業株式会社 有機膜のエッチング方法、半導体装置の製造方法及びパターンの形成方法
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
DE10037957C1 (de) * 2000-07-27 2002-02-28 Infineon Technologies Ag Verfahren zum anisotropen Trockenätzen organischer Antireflexionsschichten
US6455431B1 (en) * 2000-08-01 2002-09-24 Applied Materials Inc. NH3 plasma descumming and resist stripping in semiconductor applications
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6657284B1 (en) 2000-12-01 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Graded dielectric layer and method for fabrication thereof
US6743732B1 (en) * 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6294457B1 (en) * 2001-02-01 2001-09-25 Taiwan Semiconductor Manufacturing Company Optimized IMD scheme for using organic low-k material as IMD layer
US6429121B1 (en) * 2001-02-07 2002-08-06 Advanced Micro Devices, Inc. Method of fabricating dual damascene with silicon carbide via mask/ARC
US6893969B2 (en) * 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6841483B2 (en) * 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6620733B2 (en) * 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6465343B1 (en) * 2001-02-28 2002-10-15 Advanced Micro Devices, Inc. Method for forming backend interconnect with copper etching and ultra low-k dielectric materials
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020155693A1 (en) * 2001-04-23 2002-10-24 Chartered Semiconductor Manufacturing Ltd. Method to form self-aligned anti-via interconnects
US6503845B1 (en) 2001-05-01 2003-01-07 Applied Materials Inc. Method of etching a tantalum nitride layer in a high density plasma
US6559001B2 (en) 2001-05-30 2003-05-06 International Business Machines Corporation Methods of patterning a multi-layer film stack and forming a lower electrode of a capacitor
KR100531419B1 (ko) * 2001-06-12 2005-11-28 주식회사 하이닉스반도체 반도체소자 및 그의 제조방법
US20020192944A1 (en) * 2001-06-13 2002-12-19 Sonderman Thomas J. Method and apparatus for controlling a thickness of a copper film
US20030003374A1 (en) * 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
KR100825130B1 (ko) * 2001-07-06 2008-04-24 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
CN1277293C (zh) * 2001-07-10 2006-09-27 东京毅力科创株式会社 干蚀刻方法
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6541380B2 (en) * 2001-07-24 2003-04-01 Applied Materials Inc. Plasma etching process for metals and metal oxides, including metals and metal oxides inert to oxidation
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6709875B2 (en) 2001-08-08 2004-03-23 Agilent Technologies, Inc. Contamination control for embedded ferroelectric device fabrication processes
WO2003021659A1 (en) 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
KR100685947B1 (ko) * 2001-09-08 2007-02-23 엘지.필립스 엘시디 주식회사 액정표시소자의 제조방법
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
JP3739325B2 (ja) * 2001-09-20 2006-01-25 株式会社日立製作所 有機絶縁膜のエッチング方法
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6649531B2 (en) 2001-11-26 2003-11-18 International Business Machines Corporation Process for forming a damascene structure
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6737747B2 (en) * 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
JP2003282535A (ja) * 2002-03-20 2003-10-03 Sanyo Electric Co Ltd 半導体装置の製造方法
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
WO2003089990A2 (en) * 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
KR100464430B1 (ko) * 2002-08-20 2005-01-03 삼성전자주식회사 하드 마스크를 이용한 알루미늄막 식각 방법 및 반도체소자의 배선 형성 방법
DE10240099A1 (de) * 2002-08-30 2004-03-11 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur
US20040063008A1 (en) * 2002-09-26 2004-04-01 Advanced Micro Devices, Inc. Post etch overlay metrology to avoid absorbing layers preventing measurements
US6797552B1 (en) * 2002-11-19 2004-09-28 Advanced Micro Devices, Inc. Method for defect reduction and enhanced control over critical dimensions and profiles in semiconductor devices
US7344991B2 (en) 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US6802945B2 (en) * 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7253115B2 (en) * 2003-02-06 2007-08-07 Applied Materials, Inc. Dual damascene etch processes
KR100493048B1 (ko) * 2003-02-13 2005-06-02 삼성전자주식회사 다층의 하드 마스크를 이용하여 배선 및 연결 콘택 구조를형성하는 방법
WO2004086143A2 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Multi-step process for etching photomasks
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US6764927B1 (en) * 2003-04-24 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Chemical vapor deposition (CVD) method employing wetting pre-treatment
US20040229470A1 (en) * 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US8101025B2 (en) * 2003-05-27 2012-01-24 Applied Materials, Inc. Method for controlling corrosion of a substrate
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
KR100562985B1 (ko) * 2003-12-30 2006-03-23 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP2005277375A (ja) * 2004-02-27 2005-10-06 Nec Electronics Corp 半導体装置の製造方法
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US6931991B1 (en) * 2004-03-31 2005-08-23 Matsushita Electric Industrial Co., Ltd. System for and method of manufacturing gravure printing plates
US7122489B2 (en) * 2004-05-12 2006-10-17 Matsushita Electric Industrial Co., Ltd. Manufacturing method of composite sheet material using ultrafast laser pulses
KR100598105B1 (ko) * 2004-06-17 2006-07-07 삼성전자주식회사 반도체 패턴 형성 방법
US7148142B1 (en) 2004-06-23 2006-12-12 Advanced Micro Devices, Inc. System and method for imprint lithography to facilitate dual damascene integration in a single imprint act
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US20060019099A1 (en) * 2004-07-20 2006-01-26 General Electric Company Method for making multilayer film, sheet and articles therefrom
US7208420B1 (en) * 2004-07-22 2007-04-24 Lam Research Corporation Method for selectively etching an aluminum containing layer
US20060021971A1 (en) * 2004-07-30 2006-02-02 Kevin Pears Method for plasma treatment of a carbon layer
US20060035173A1 (en) * 2004-08-13 2006-02-16 Mark Davidson Patterning thin metal films by dry reactive ion etching
US7791290B2 (en) * 2005-09-30 2010-09-07 Virgin Islands Microsystems, Inc. Ultra-small resonating charged particle beam modulator
US7626179B2 (en) 2005-09-30 2009-12-01 Virgin Island Microsystems, Inc. Electron beam induced resonance
US7586097B2 (en) 2006-01-05 2009-09-08 Virgin Islands Microsystems, Inc. Switching micro-resonant structures using at least one director
US20070034518A1 (en) * 2005-08-15 2007-02-15 Virgin Islands Microsystems, Inc. Method of patterning ultra-small structures
JP2006086500A (ja) * 2004-08-18 2006-03-30 Toshiba Corp 半導体装置の製造方法
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100759418B1 (ko) * 2004-10-11 2007-09-20 삼성전자주식회사 반도체 제조공정의 얼라인먼트 측정방법
US7138717B2 (en) * 2004-12-01 2006-11-21 International Business Machines Corporation HDP-based ILD capping layer
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
WO2006107942A1 (en) * 2005-04-05 2006-10-12 Analog Devices, Inc. Vapor hf etch process mask and method
KR100669560B1 (ko) * 2005-05-16 2007-01-15 주식회사 하이닉스반도체 반도체 소자의 도전 배선 형성 방법
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
JP2007053220A (ja) * 2005-08-18 2007-03-01 Oki Electric Ind Co Ltd 半導体装置の製造方法
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
WO2007064358A2 (en) * 2005-09-30 2007-06-07 Virgin Islands Microsystems, Inc. Structures and methods for coupling energy from an electromagnetic wave
US7557025B2 (en) * 2005-11-04 2009-07-07 United Microelectronics Corp. Method of etching a dielectric layer to form a contact hole and a via hole and damascene method
US20070152781A1 (en) * 2006-01-05 2007-07-05 Virgin Islands Microsystems, Inc. Switching micro-resonant structures by modulating a beam of charged particles
US7470920B2 (en) * 2006-01-05 2008-12-30 Virgin Islands Microsystems, Inc. Resonant structure-based display
JP4693642B2 (ja) * 2006-01-30 2011-06-01 株式会社東芝 半導体装置の製造方法および洗浄装置
US7282776B2 (en) * 2006-02-09 2007-10-16 Virgin Islands Microsystems, Inc. Method and structure for coupling two microcircuits
US20090286205A1 (en) * 2006-02-21 2009-11-19 Johnson Jason K Prefabricated Dental Crowns
MX2008010727A (es) * 2006-02-21 2009-09-08 Nusmile Ltd Coronas dentales prefabricadas.
US20070200071A1 (en) * 2006-02-28 2007-08-30 Virgin Islands Microsystems, Inc. Coupling output from a micro resonator to a plasmon transmission line
US7443358B2 (en) * 2006-02-28 2008-10-28 Virgin Island Microsystems, Inc. Integrated filter in antenna-based detector
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7876793B2 (en) 2006-04-26 2011-01-25 Virgin Islands Microsystems, Inc. Micro free electron laser (FEL)
US7492868B2 (en) * 2006-04-26 2009-02-17 Virgin Islands Microsystems, Inc. Source of x-rays
US7646991B2 (en) 2006-04-26 2010-01-12 Virgin Island Microsystems, Inc. Selectable frequency EMR emitter
US20070258675A1 (en) * 2006-05-05 2007-11-08 Virgin Islands Microsystems, Inc. Multiplexed optical communication between chips on a multi-chip module
US7342441B2 (en) * 2006-05-05 2008-03-11 Virgin Islands Microsystems, Inc. Heterodyne receiver array using resonant structures
US7741934B2 (en) 2006-05-05 2010-06-22 Virgin Islands Microsystems, Inc. Coupling a signal through a window
US20070258720A1 (en) * 2006-05-05 2007-11-08 Virgin Islands Microsystems, Inc. Inter-chip optical communication
US8188431B2 (en) 2006-05-05 2012-05-29 Jonathan Gorrell Integration of vacuum microelectronic device with integrated circuit
US7656094B2 (en) * 2006-05-05 2010-02-02 Virgin Islands Microsystems, Inc. Electron accelerator for ultra-small resonant structures
US7476907B2 (en) * 2006-05-05 2009-01-13 Virgin Island Microsystems, Inc. Plated multi-faceted reflector
US7728702B2 (en) 2006-05-05 2010-06-01 Virgin Islands Microsystems, Inc. Shielding of integrated circuit package with high-permeability magnetic material
US7728397B2 (en) * 2006-05-05 2010-06-01 Virgin Islands Microsystems, Inc. Coupled nano-resonating energy emitting structures
US7986113B2 (en) 2006-05-05 2011-07-26 Virgin Islands Microsystems, Inc. Selectable frequency light emitter
US7443577B2 (en) * 2006-05-05 2008-10-28 Virgin Islands Microsystems, Inc. Reflecting filtering cover
US7723698B2 (en) * 2006-05-05 2010-05-25 Virgin Islands Microsystems, Inc. Top metal layer shield for ultra-small resonant structures
US7732786B2 (en) 2006-05-05 2010-06-08 Virgin Islands Microsystems, Inc. Coupling energy in a plasmon wave to an electron beam
US7718977B2 (en) * 2006-05-05 2010-05-18 Virgin Island Microsystems, Inc. Stray charged particle removal device
US7710040B2 (en) 2006-05-05 2010-05-04 Virgin Islands Microsystems, Inc. Single layer construction for ultra small devices
US7442940B2 (en) * 2006-05-05 2008-10-28 Virgin Island Microsystems, Inc. Focal plane array incorporating ultra-small resonant structures
US20070258492A1 (en) * 2006-05-05 2007-11-08 Virgin Islands Microsystems, Inc. Light-emitting resonant structure driving raman laser
US7746532B2 (en) 2006-05-05 2010-06-29 Virgin Island Microsystems, Inc. Electro-optical switching system and method
US7436177B2 (en) * 2006-05-05 2008-10-14 Virgin Islands Microsystems, Inc. SEM test apparatus
US7359589B2 (en) * 2006-05-05 2008-04-15 Virgin Islands Microsystems, Inc. Coupling electromagnetic wave through microcircuit
US7679067B2 (en) 2006-05-26 2010-03-16 Virgin Island Microsystems, Inc. Receiver array using shared electron beam
US20070274365A1 (en) * 2006-05-26 2007-11-29 Virgin Islands Microsystems, Inc. Periodically complex resonant structures
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7655934B2 (en) * 2006-06-28 2010-02-02 Virgin Island Microsystems, Inc. Data on light bulb
CN100570485C (zh) * 2006-07-07 2009-12-16 中国科学院半导体研究所 二维纳米结构深刻蚀方法
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US7450794B2 (en) * 2006-09-19 2008-11-11 Virgin Islands Microsystems, Inc. Microcircuit using electromagnetic wave routing
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US7659513B2 (en) 2006-12-20 2010-02-09 Virgin Islands Microsystems, Inc. Low terahertz source and detector
US20080242072A1 (en) * 2007-03-26 2008-10-02 Texas Instruments Incorporated Plasma dry etch process for metal-containing gates
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7990336B2 (en) 2007-06-19 2011-08-02 Virgin Islands Microsystems, Inc. Microwave coupled excitation of solid state resonant arrays
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US7791053B2 (en) 2007-10-10 2010-09-07 Virgin Islands Microsystems, Inc. Depressed anode with plasmon-enabled devices such as ultra-small resonant structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR101013556B1 (ko) * 2008-02-01 2011-02-14 주식회사 하이닉스반도체 스택 패키지의 제조방법
US7795073B2 (en) 2008-02-01 2010-09-14 Hynix Semiconductor Inc. Method for manufacturing stack package using through-electrodes
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9293319B2 (en) 2011-03-09 2016-03-22 Micron Technology, Inc. Removal of metal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6041709B2 (ja) * 2013-03-05 2016-12-14 東京エレクトロン株式会社 金属層をエッチングする方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9598907B2 (en) 2014-02-28 2017-03-21 Diamond Innovations Inc. Modification of diamond feeds for improving polycrystalline diamond cutter
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
KR101870491B1 (ko) * 2014-03-11 2018-06-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 기판 처리 시스템, 박막 트랜지스터의 제조 방법 및 기억 매체
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
KR20170057329A (ko) 2014-09-12 2017-05-24 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 장비 유출물의 처리를 위한 제어기
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
GB201420245D0 (en) * 2014-11-14 2014-12-31 Bae Systems Plc Sensor manufacture
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102403619B1 (ko) * 2017-09-18 2022-05-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN107422403B (zh) * 2017-09-21 2019-12-03 京东方科技集团股份有限公司 用于控制光出射方向的光学部件及其制造方法
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10804106B2 (en) 2018-02-21 2020-10-13 International Business Machines Corporation High temperature ultra-fast annealed soft mask for semiconductor devices
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4256534A (en) * 1978-07-31 1981-03-17 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
US4447824A (en) * 1980-08-18 1984-05-08 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
JPS5916978A (ja) * 1982-07-20 1984-01-28 Tokyo Denshi Kagaku Kabushiki 金属被膜の選択的エツチング方法
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4444618A (en) * 1983-03-03 1984-04-24 General Electric Company Processes and gas mixtures for the reactive ion etching of aluminum and aluminum alloys
EP0133621B1 (de) * 1983-08-02 1988-03-30 Ibm Deutschland Gmbh Verfahren zum Trockenätzen von Kupfer und seine Verwendung
US4519872A (en) * 1984-06-11 1985-05-28 International Business Machines Corporation Use of depolymerizable polymers in the fabrication of lift-off structure for multilevel metal processes
ATE68912T1 (de) * 1985-09-27 1991-11-15 Unisys Corp Verfahren zur herstellung einer konischen kontaktoeffnung in polyimid.
US5067002A (en) * 1987-01-30 1991-11-19 Motorola, Inc. Integrated circuit structures having polycrystalline electrode contacts
US4966865A (en) * 1987-02-05 1990-10-30 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
US4753709A (en) * 1987-02-05 1988-06-28 Texas Instuments Incorporated Method for etching contact vias in a semiconductor device
JPH07113774B2 (ja) * 1987-05-29 1995-12-06 株式会社日立製作所 パタ−ンの形成方法
US5110712A (en) * 1987-06-12 1992-05-05 Hewlett-Packard Company Incorporation of dielectric layers in a semiconductor
US5298112A (en) * 1987-08-28 1994-03-29 Kabushiki Kaisha Toshiba Method for removing composite attached to material by dry etching
JPH01234578A (ja) * 1988-03-16 1989-09-19 Hitachi Ltd 銅薄膜のドライエツチング方法
JPH0787053B2 (ja) * 1989-02-06 1995-09-20 日本電信電話株式会社 銅薄膜パターニング方法
JP2732663B2 (ja) * 1989-05-10 1998-03-30 日本電信電話株式会社 銅薄膜パターニング方法
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5141817A (en) * 1989-06-13 1992-08-25 International Business Machines Corporation Dielectric structures having embedded gap filling RIE etch stop polymeric materials of high thermal stability
JPH0336723A (ja) * 1989-07-04 1991-02-18 Fujitsu Ltd 半導体装置の製造方法及び電子サイクロトロン共鳴エッチング装置
US5053105A (en) * 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
JP3077178B2 (ja) * 1990-08-11 2000-08-14 ソニー株式会社 銅薄膜の選択的ドライエッチング方法
JPH04173988A (ja) * 1990-11-02 1992-06-22 Nissin Electric Co Ltd ドライエッチング方法
JPH04187787A (ja) * 1990-11-20 1992-07-06 Nisshin Hightech Kk ドライエッチング方法
JPH04199821A (ja) * 1990-11-29 1992-07-21 Nisshin Hightech Kk ドライエッチング装置
JPH04199824A (ja) * 1990-11-29 1992-07-21 Nisshin Hightech Kk ドライエッチング方法
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5183972A (en) * 1991-02-04 1993-02-02 Microelectronics And Computer Technology Corporation Copper/epoxy structures
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
JPH04329640A (ja) * 1991-05-01 1992-11-18 Mitsubishi Electric Corp 配線層のドライエッチング方法
JPH04350939A (ja) * 1991-05-29 1992-12-04 Sony Corp 銅配線の形成方法
JP3371143B2 (ja) * 1991-06-03 2003-01-27 ソニー株式会社 ドライエッチング方法
US5476753A (en) * 1991-07-22 1995-12-19 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
EP0531232A3 (en) * 1991-08-26 1993-04-21 Eastman Kodak Company High durability mask for use in selective area, epitaxial regrowth of gaas
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
DE4223887A1 (de) * 1992-07-21 1994-01-27 Basf Ag Verfahren zur Herstellung eines Polymer/Metall- oder Polymer/Halbleiter-Verbundes
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
US5346586A (en) * 1992-12-23 1994-09-13 Micron Semiconductor, Inc. Method for selectively etching polysilicon to gate oxide using an insitu ozone photoresist strip
US5387556A (en) * 1993-02-24 1995-02-07 Applied Materials, Inc. Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2
JPH06326059A (ja) * 1993-05-17 1994-11-25 Fujitsu Ltd 銅薄膜のエッチング方法
JPH0758383A (ja) * 1993-08-16 1995-03-03 Japan Atom Energy Res Inst 低温作動型金属蒸気レーザー
JPH07161687A (ja) * 1993-12-03 1995-06-23 Nissin Electric Co Ltd ドライエッチング方法及び装置
JPH07201856A (ja) * 1993-12-28 1995-08-04 Fujitsu Ltd 銅配線の形成方法
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5550405A (en) * 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
US5559056A (en) * 1995-01-13 1996-09-24 National Semiconductor Corporation Method and apparatus for capping metallization layer
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
JPH1191940A (ja) * 1997-09-24 1999-04-06 Nissan Motor Co Ltd 回転テーブル駆動装置および駆動方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006522480A (ja) * 2003-03-31 2006-09-28 東京エレクトロン株式会社 多層フォトレジストのドライ現像のための方法及び装置
US8048325B2 (en) 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
JP2016105461A (ja) * 2014-10-09 2016-06-09 ラム リサーチ コーポレーションLam Research Corporation 銅バリア膜をエッチングするための新規方法

Also Published As

Publication number Publication date
KR20010085939A (ko) 2001-09-07
KR20010033061A (ko) 2001-04-25
WO1999031718A1 (en) 1999-06-24
US6143476A (en) 2000-11-07
EP1038310A1 (en) 2000-09-27
US6080529A (en) 2000-06-27

Similar Documents

Publication Publication Date Title
JP2002509353A (ja) 有機マスク積層体を用いたパターン層の高温エッチング方法
US6331380B1 (en) Method of pattern etching a low K dielectric layer
KR101887723B1 (ko) 다층 마스크에서의 패턴의 cd 및 무결성을 제어하기 위한 에칭 프로세스
US6461974B1 (en) High temperature tungsten etching process
US8114782B2 (en) Method for etching organic hardmasks
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US5925577A (en) Method for forming via contact hole in a semiconductor device
US6534416B1 (en) Control of patterned etching in semiconductor features
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US7601246B2 (en) Methods of sputtering a protective coating on a semiconductor substrate
JP2001526461A (ja) 酸化窒化珪素と無機反射防止皮膜をエッチングするための方法
KR20070089082A (ko) 기판의 부식을 제어하기 위한 방법
EP1498940A2 (en) Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US7947609B2 (en) Method for etching low-k material using an oxide hard mask
JP2003526897A (ja) 後続のエッチング中のマスキングとして有用な、またはダマシン構造に有用な、パターニングされた層のエッチング方法
US20030181056A1 (en) Method of etching a magnetic material film stack using a hard mask
JP3760843B2 (ja) 半導体装置の製造方法
US6399509B1 (en) Defects reduction for a metal etcher
JP2001237228A (ja) 基板処理方法および基板処理装置ならびにデバイス製造方法
US20100163294A1 (en) Method for forming metal line of semiconductor device
JP2008251741A (ja) 半導体装置の製造方法
JP3877461B2 (ja) 半導体装置の製造方法
JPH07211698A (ja) 微細パターンの加工方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20060207