KR20010033061A - 유기 마스크 적층을 이용한 패턴화된 층의 고온 에칭 방법 - Google Patents

유기 마스크 적층을 이용한 패턴화된 층의 고온 에칭 방법 Download PDF

Info

Publication number
KR20010033061A
KR20010033061A KR1020007006422A KR20007006422A KR20010033061A KR 20010033061 A KR20010033061 A KR 20010033061A KR 1020007006422 A KR1020007006422 A KR 1020007006422A KR 20007006422 A KR20007006422 A KR 20007006422A KR 20010033061 A KR20010033061 A KR 20010033061A
Authority
KR
South Korea
Prior art keywords
layer
high temperature
organic substrate
pattern
etching
Prior art date
Application number
KR1020007006422A
Other languages
English (en)
Inventor
얀 예
알렌 자오
피터 창-린 하이
다이애나 마
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010033061A publication Critical patent/KR20010033061A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 패턴 에칭 프로세스의 완료 후에 남아 있는 잔류 마스킹층을 쉽게 제거할 수 있는 반도체 디바이스 피쳐 패터닝 방법에 관한 것이다. 본 방법은 무기 경성 마스크를 제공하기 위해 패턴화될 수 있는 고온 무기 마스킹 재료층이나 유기 경성 마스크를 제공하기 위해 패턴화될 수 있는 고온 이미지 형성 유기 마스킹 재료층이 위에 놓인 고온 유기 기재 마스킹 재료층을 포함하는 다층 구조 마스킹 구조를 제공한다. 경성 마스킹 재료는 고온 유기 기재 마스킹 재료에 패턴을 전사하는데 사용되며, 전사된 후에 이 경성 마스킹 재료는 제거된다. 고온 유기 기재 마스킹 재료는 패턴을 하부 반도체 디바이스 피쳐에 전사하는데 사용된다.

Description

유기 마스크 적층을 이용한 패턴화된 층의 고온 에칭 방법 {METHOD FOR HIGH TEMPERATURE ETCHING OF PATTERNED LAYERS USING AN ORGANIC MASK STACK}
반도체 디바이스 제조 분야에서는, 특히 디바이스 피쳐 크기가 작아지는 추세에 따라서, 금속 배선과 접촉부와 같은 도전성 피쳐를 구성하는데 이용되는 에칭 프로세스가 특히 중요하게 되었다. 새로운 디바이스들은 약 0.25 ㎛ 이하 범위의 피쳐 크기를 가지며, 패턴 에칭 중에 정밀한 프로필을 달성하는 것과 후속 처리 공정 중의 문제나 장기간의 디바이스 기능에서의 문제를 일으키는 에칭 후의 잔류물을 제거하는 것에 중점을 두고 있다.
본 발명자들은 패턴 에칭 중에 구리 층 표면의 잔류물 형성을 감소시키고 방지하는 플라즈마 에칭 시스템을 개발하였다. 도전성 재료의 에칭에 유용한 이 에칭 시스템은 1997년 7월 9일자 출원된 미국 출원 번호 제 08/891,410호와 1997년 8월 13일자로 출원된 미국 출원 번호 제08/911,878호(Docket No. AM-2181)에 개시되어 있다. 이 출원들은 여기서 참조로서 포함되며, 본 출원의 양수인에게 양도되어 있다.
동시에, 본 발명자들은 에칭된 마스크 잔류물의 형성을 줄이거나 방지하도록 원하는 패턴을 인접층들로 전사할 수 있는 패터닝 마스크의 개발을 가능하게 하는 에칭 프로세스를 개발해 왔었다.
도 1a-1E는 피쳐 층의 에칭을 포함하는 일련을 공정 단계를 진행할 때에 약 150 ℃ 이상의 온도에서 유용한 전형적인 플라즈마 에칭 적층의 개략적 단면도를 도시한 것이다. 이 에칭 적층은 본 발명 이전에 공용되고 있는 종류의 것이다. 도 1a는 완전한 에칭 적층을 도시한 것으로, 전형적으로 반도체 기판(실리콘 웨이퍼 기판과 같은 것) 위에 놓인 하는 유전체층 또는 반도체 기판 그 자체일 수 있는 기판(102); 도전층(106)과 기판(102) 사이의 재료의 확산 및/또는 이동을 방지하는 배리어층(104); 전형적으로는 알루미늄이나 구리가 될 수 있으나, 예컨대 텡스텐, 백금, 이리듐 또는 루비듐이 될 수 있는 도전층(106); 전형적으로는 금속 함유 화합물로서 상부 패터닝층의 이미지 형성을 더 양호하게 할 수 있으며, 또한 종종 도전층(106)과 상부 패턴 마스크층(110) 사이의 확산/이동을 방지하는 배리어층으로서 작용하는 반사 방지 코팅(ARC)층(108); 전형적으로 도전층(106)의 에칭 중에 발생하는 고온에도 견딜 수 있으며 이와 같은 에칭 중에 패턴화되어 마스크로서 사용될 수 있는 실리콘 이산화물 또는 유사한 무기 재료의 층인 패턴 마스킹층(110); 및 전형적으로 저온에서 안정하며, 고온에서 안정한 마스킹층(100)을 패턴화하는데 사용되는 유기 기재 재료인 포토레지스트층(112)을 포함한다. 도 1a에서 포토레지스트(112)는 이미 패턴화되어 패턴 마스킹층(100)으로 전사될 피쳐 형상을 제공한다.
도 1b는 도 1a의 적층을 도시한 것으로, 여기서는 표준 플라즈마 에칭 기법을 이용하여 포토레지스트층(112)의 패턴이 패턴 마스킹층(110)으로 전사되었다. 마스킹층(110)이 실리콘 이산화물과 같은 실리콘 함유 재료를 포함하는 경우에는 에칭 플라즈마는 통상적으로 플루오린 생성종을 포함한다. 바람직하게는 플라즈마 선택성은 포토레지스트 재료 위의 실리콘 이산화물에 대한 것이다.
도 1c는 도전층(106) 에칭 프로세스에서의 다음 단계를 도시한 것으로, 여기서는 포토레지스트층(112)은 패턴 마스킹층(110)의 표면으로부터 박리되었다. 이 박리 공정은 습식 화학적 제거 공정이 될 수 있으며, 또는 패턴 마스킹층(110) 위의 포토레지스트층(112)을 위해 선택된 플라즈마 에칭 공정이 될 수 있다. 포토레지스트층(112)의 박리는 두가지 이유로해서 실시된다. 층(112)용으로 통상 사용되는 유기 기재 포토레지스트 재료는 도전층(106) 에칭 중에 흔히 도달되는 온도에서 녹거나 그 모양이 왜곡될 수 있다. 이에 의해 도전층(106)으로 전사될 패턴이 왜곡될 수 있다. 게다가 포토레지스트층(112)의 표면이 에천트 플라즈마에 노출되므로 인해 생성되는 중합체 종은 도전층(106) 에칭 중에 인접 표면을 오염시키는 경향이 있어 도전층(106)의 에칭율을 감소시킨다. 하부 실리콘 이산화물 패턴화층을 패턴화하는데 포토레지스트 재료를 사용하는 절차는 1991년 11월 19일자로 특허된 Zdebel 등의 미국 특허 제5,067,002호에 개시되어 있다. Zdebel 등은 그와 같은 하부층들의 에칭 중에 포토레지스트 재료에 의해 하부 표면이 오염되는 것을 방지하기 위해서는 하부층 에칭 전에 포토레지스트 재료를 제거할 필요가 있다고 설명한다. David Keller는 1994년 9월 13일자 특허된 미국 특허 제5,346,586호에서 단단한 산화물 마스크의 표면으로부터 포토레지스트 마스크를 건식 에칭 제거하기 위해 오존 플라즈마를 이용하는 것에 대해 설명하고 있으며, 또한 폴리실리콘 게이트 산화물 에칭 단계 중에 포토레지스트가 존재하지 않으면 게이트 산화물에 대해 선택적으로 에칭하는 것이 더 쉽다고 설명하고 있다.
도 1d는 에칭 프로세스의 다음 단계를 도시한 것으로, 여기서는 원하는 패턴이 ARC층(108), 도전층(106), 및 배리어층(104)을 통해 전사되었다. 통상적으로 이들 층 모두는 금속 함유층이며, 3개 층 모두를 통해 패턴을 에칭하는데는 할로겐 함유 플라즈마가 사용될 수 있다. 이 때에, 문제는 잔류 실리콘 이산화물 경성 마스킹 재료를 제거하는 것과 인접 표면들로부터 실리콘 이산화물 마스킹 재료의 잔류 피착물을 제거하는 일이다. 잔류 경성마스킹 재료는 잔류 마스킹층(110)으로서 존재하며, 잔류 피착물은 패턴화된 도전층(106) 표면과 기판(102) 표면 상의 114로서 존재한다.
패턴화된 도전층(106) 표면의 피착물(114)의 경우에, 피착물(114)은 피착물(114) 아래의 잔류 화학적 에칭 리에천트(reachant)를 트랩하여(trap) 패턴화된 도전층(106) 표면에 부딪쳐 도전층(106)을 부식시킬 수가 있다. 이 부식은 도 1d에서 116으로 도시되어 있다.
게다가, 기판(102)이 전계 효과 트랜지스터의 게이트 속도를 증가시키기 위해 저유전상수 재료인 경우에는, 층들(108, 106, 104)(도 4D에 도시됨)을 통한 패턴 에칭 후에 남아있는 잔류 마스킹층(110)은 디바이스 성능을 저하시킬 수가 있다. 따라서, ARC층(108) 표면으로부터 잔류 마스킹층(110)을 제거하는 것이 중요해진다.
더욱이, 도 1e에 도시된 바와 같이, 패턴화된 도전층(106) 표면 위에 유전체층(118)이 도포되는 경우에는, 잔류 마스킹층(110)이 제거되지 않으면, 비평탄면(120)이 생기게 된다. 비평탄면은 유전체층(118) 표면 위에 추가적인 패턴화된 도전층(미도시)이 구성되는 도전성 다층 구조 디바이스의 구성 시에 많은 문제를 일으킨다.
상술한 문제들을 고려하여, 본 발명자들은 다층 구조를 포함한 패터닝 시스템과 패터닝 프로세스의 완료 후에 잔류 마스킹층 재료를 쉽게 제거할 수 있는 방법을 개발하였다.
본 발명은 일반적으로 패턴화 에칭 중에 사용되는 마스킹 재료의 적층의 고온 안정성을 필요로 하는, 구리, 백금, 이리듐, 루테늄, 텡스텐, 및 배륨 스트론튬 티타네이트와 같은 재료의 패턴화 에칭에 관한 것이다. 고온 기능성 외에도, 마스킹 재료의 적층은 에칭 후에 피쳐 표면에 남아 있는 마스킹 재료 잔류량과 측벽 피착물량을 최소화하도록 설계된다.
도 1a 내지 1E는 디바이스 피쳐 도전성 재료층의 에칭에 일반적으로 사용되는 에칭 적층이 일련의 처리 단계를 진행할 때에 플라즈마 에칭에서 유용한 종래의 다층 구조(플라즈마 에칭 적층)의 개략 단면도,
도 2a는 본 발명의 제1 바람직한 실시예의 플라즈마 에칭 적층의 개략 단면도이고, 도 2b 내지 2G는 본 발명의 방법에 의한 단계들을 진행할 때의 에칭 적층에서의 변화를 도시한 도면,
도 3a는 본 발명의 제2 바람직한 실시예의 플라즈마 에칭 적층의 개략 단면도이고, 도 3b 내지 3G는 본 발명의 방법에 의한 단계들을 진행할 때의 에칭 적층에서의 변화를 도시한 도면,
도 4는 본 명세서에서 설명된 플라즈마 에칭 단계를 수행하는데 사용될 수 있는 종류의 프로세서 챔버 및 보조 장치의 개략도.
본 발명은 패턴 에칭 프로세스의 완료 후에 남아 있는 잔류 마스킹층을 쉽게 제거할 수 있는 반도체 디바이스 피쳐 패터닝 방법에 관한 것이다. 본 방법은 무기 경성 마스크를 제공하기 위해 패턴화될 수 있는 고온 무기 마스킹 재료층이나 유기 경성 마스크를 제공하기 위해 패턴화될 수 있는 고온 이미지 형성 유기 마스킹 재료층이 위에 놓인 고온 유기 기재 마스킹 재료층을 포함하는 다층 구조 마스킹 구조를 제공한다. 경성 마스킹 재료는 고온 유기 기재 마스킹 재료에 패턴을 전사하는데 사용되며, 전사된 후에 이 경성 마스킹 재료는 제거된다. 고온 유기 기재 마스킹 재료는 패턴을 하부 반도체 디바이스 피쳐에 전사하는데 사용된다. 고온 유기 기재 마스킹 재료는 패턴화된 피쳐 표면의 오염을 중이거나 방지하기 위해 패턴화된 반도체 디바이스 피쳐의 표면으로부터 제거될 수 있다.
본 발명에 따라서, 본 발명자들은 약 150 ℃ 내지 약 500 ℃ 범위의 비교적 고온에서 하부층들의 패턴닝을 가능하게 하면서, 패터닝 프로세스 후에 남아 있는 잔류 마스킹층을 쉽게 제거할 수 있는 두 가지 패터닝 시스템을 개발하였다.
제1 패터닝 시스템은 고온 무기 마스킹 재료층이 위에 놓여 있고 또 패터닝 레지스트층이 위에 더 놓여 있는 고온 유기 기재 마스킹 재료를 포함하는 다층 마스킹 구조를 사용한다.
패터닝 방법은 다음과 같다.
a) 포토레지스트 재료층은 종래의 공지 기법을 이용하여 패턴으로 이미지 형성 및 현상되어, 다층 마스킹 구조 전체에, 결국은 적어도 하나의 디바이스 피쳐층을 통해 원하는 패턴을 전사하는데 사용될 수 있는 패턴화된 마스크를 생성한다.
b) 패턴화된 포토레지스트는,
ⅰ) 고온 무기 마스킹 재료층; 및
ⅱ) 고온 유기 기재 마스킹 재료층
을 통해 패턴을 전사하는데 사용된다.
바람직하게는, 고온 유기 기재 마스킹 재료층을 통한 패턴 전사는 이 재료가 패턴 전사 프로세스에 의해 하부 절단되지 않도록 비등방성 플라즈마 에칭 기법을 통해 이루어진다.
c) 그 다음, 패턴 전사 후에 남아 있는 잔류 포토레지스트는 고온 무기 마스킹층을 에칭 스톱으로 이용하여 플라즈마 에칭에 의해 다층 구조로부터 제거된다. 포토레지스트 제거는 통상적으로 산소 기재 플라즈마 에칭을 포함하는 비등방성 에칭 프로세스를 이용하여 수행된다. 포토레지스트의 비등방성 박리는 포토레지스트 제거 중에 고온 유기 마스킹 재료의 에칭을 방지하거나 적어도 실질적으로 감소시킨다.
d) 이 때에, 선택적으로, 유기 기재 마스킹 재료의 에칭을 최소화하도록 설계된 습식 에칭 기법이나 플라즈마 에칭 기법을 이용하여 고온 무기 마스킹 재료층이 제거될 수 있다. 바람직하게는, 고온 무기 마스킹 재료의 두께는 피쳐층 에칭(단계 e) 중에 자동적으로 제거될 정도의 두께이다.
e) 그 다음, 고온 유기 기재 마스킹 재료의 아래에 있는 적어도 하나의 피쳐층을 통해 고온 유기 기재 마스킹층으로부터 패턴이 전사된다.
f) 그 다음, 피쳐층 패터닝 후에 남아 있는 고온 유기 기재 마스킹 재료는 플라즈마 에칭 기법을 이용하여 쉽게 제거된다. 에칭된 피쳐층이 산소 기재 플라즈마에 의해 부식되거나 산화될 것 같으면, 수소 기재 플라즈마 에칭 기법이 권장된다. 유기 기재 마스킹 재료의 제거는 패턴화된 피쳐층 표면의 무반응에 유리한 본 기술 분야에 잘 알려져 있는 용제를 이용하여 습식 박리(stripping) 기법에 의할 수 있다.
피쳐층 에칭 중에는 단계 a)로부터 남아 있는 잔류 포토레지스트 재료가 존재하지 않기 때문에 고온 유기 기재 마스킹 재료로부터 하부 디바이스 피쳐층으로의 패턴 전사 중에 녹거나 형상이 왜곡되는 층은 없다.
고온 유기 기재 마스킹층은 쉽게 제거되기 때문에 디바이스 성능에 영향을 미치거나 평탄화를 어렵게 하는 디바이스 구조 내의 잔류 마스킹층이 없다. 바람직하게는 고온 유기 기재 마스킹층은 CVD 기법을 이용하여 피착된 α-C 및 α-FC 박막으로부터 형성된다. 그와 같은 박막들을 형성하는데 사용되는 출발 재료의 예로서는 CH4, C2H2, CF4, C2F6, C4F8, NF3, 및 그 조합이 있으며, 물론 많은 다른 탄소 함유 선구 물질도 사용될 수 있다.
제2 패터닝 시스템은 표준적인 포토레지스트 이미지 형성층이 아닌 고온 패턴 이미지 형성층을 사용한다는 점에서 제1 패터닝 시스템과 차이가 있다. 고온 패턴 이미지 형성층은 약 150 ℃ 이하에서 일반적으로 안정한 포토레지스트 재료에 비해 약 150 ℃ 내지 약 500 ℃ 범위의 온도에서 안정하다. 바람직하게는 고온 패턴 이미지 형성층은 깊은 UV에 의해 이미지 형성될 수 있고 플라즈마 현상이 가능한 플라즈마 중합 메틸 실란(PPMS)과 같은 플라즈마 중합 재료이다.
패터닝 방법은 다음과 같다.
a) 고온 이미지 형성 가능 재료층은 종래의 공지 기법을 이용하여 패턴으로 이미지 형성 및 현상되어, 고온 유기 기재 마스킹 재료 전체에, 결국은 적어도 하나의 디바이스 피쳐층을 통해 원하는 패턴을 전사하는데 사용될 수 있는 패턴화된 마스크를 생성한다.
b) 고온 이미지 형성 재료의 패터닝 후에, 고온 유기 기재 마스킹 재료의 하부층을 통해 패턴이 전사된다. 바람직하게는, 고온 유기 기재 마스킹 재료가 패턴 전사단계에 의해 하부 절단되지 않도록 비등방성 플라즈마 에칭 기법을 통해 전사된다.
c) 그 다음, 고온 유기 기재 마스킹 재료의 아래에 있는 적어도 하나의 피쳐층을 통해 단계 a)와 b)에서 형성된 다층 구조로부터 패턴이 전사된다. 바람직하게는 패턴은 단계 b)로부터 남아 있을 지도 모르는 고온 이미지 형성 가능 재료가 이 패턴 전사 단계 중에 제거되도록 비등방성 에칭 기법을 이용하여 전사된다. 게다가, 비등방성 에칭 기법의 이용은 하부 디바이스 피쳐층으로의 패턴 전사 중에 고온 유기 기재 재료층의 하부 절단 가능성을 감소 또는 방지한다.
d) 그 다음, 패턴 전사 후에 남아 있는 잔류 고온 유기 기재 마스킹 재료는 플라즈마 에칭 기법을 이용하여 쉽게 제거된다. 에칭된 피쳐층이 산소 기재 플라즈마에 의해 부식되거나 산화될 것 같으면, 수소 기재 플라즈마 에칭 기법이 권장된다.
이 처리 공정 에칭 중에는 저온 잔류 포토레지스트 재료가 사용되지 않기 때문에 고온 유기 기재 마스킹 재료로부터 하부 디바이스 피쳐층으로의 패턴 전사 중에 녹거나 형상이 왜곡되는 층은 없다.
고온 이미지 형성 가능 재료는 바람직하게는 실란 기재 출발 재료 또는 TEOS 기재(tetra-ethyl-ortho-silicate-based) 화학 물질을 이용하여 생성될 수 있는 종류이나, 다른 물질로부터 선택될 수 있다.
고온 유기 기재 마스킹 재료는 바람직하게는 플라즈마 에칭 기법에 의해 또는 패턴화된 피쳐층 표면의 무반응에 유리한 본 기술 분야에 잘 알려져 있는 용제를 이용함으로써 쉽게 제거될 수 있는 재료로부터 선택된다. 그와 같은 재료의 예는 전술한 제1 패터닝 시스템의 경우와 같다.
패턴화될 적어도 하나의 디바이스 피쳐층이 구리층을 포함하는 경우에는 그 구리층은 바람직하게는 개량된 물리적 충격 기법이나 또는 패터닝 중에 구리 표면을 보호하는데 충분한 수소를 발생시키는 플라즈마 에칭 기법을 이용하여 패턴 에칭된다.
전술한 에칭 기법들을 수행하는 가장 경제적인 방법은 각 플라즈마를 생성하는데 사용된 여러 가지 에천트 가스가 충분히 적합하고, 원한다면, 모든 에칭 단계가 동일 에칭 챔버에서 개별적인(별도의) 단계에서 수행될 수 있는 서로 다른 플라즈마의 조합을 활용하는 것이다.
본 발명에 따라서, 본 발명자들은 하부층들의 패터닝을 가능하게 하면서 패터닝을 수행하는데 사용된 마스킹층의 제거를 용이하게 하는 두가지 패터닝 시스템을 개발하였다.
〈Ⅰ. 정의〉
상세한 설명의 서문으로서, 본 명세서와 청구범위에 사용된 단수형은 이에 한정하지 않은 한 복수형도 포함한다. 따라서, 예컨대 "반도체"라는 용어는 반도체의 동작 특성을 가진 것으로 알려져 있는 각종 재료을 포함하며, "플라즈마"라는 용어는 RF 글로우 방전에 의해 활성화되는 가스 또는 반응제를 포함하며, "도전 재료"이라는 용어는 알루미늄, 알루미늄 합금, 구리, 구리 합금, 백금, 백금 합금, 이리듐, 이리듐 합금, 루비듐, 루테늄, 루테늄 산화물, 그 조합과 합금은 물론 설명된 응용에 적합한 다른 도전 재료를 포함한다.
본 발명의 설명에 특히 중요한 특정 전문 기술 용어는 다음과 같이 정의된다.
용어 "α-C"는 플라즈마 챔버에서 CVD에 의해 통상적으로 생성되는 고온 비정질 탄소 포함 재료를 말한다.
용어 "α-FC"는 플라즈마 챔버에서 CVD에 의해 통상적으로 생성되는 고온 플루오로카본 포함 재료를 말한다.
용어 "알루미늄"은 반도체 산업에서 통상적으로 사용되는 종류의 알루미늄 합금을 포함한다. 이와 같은 합금은 예컨대 알루미늄-구리 합금과 알루미늄-구리-실리콘 합금을 포함한다. 통상적으로 이와 같은 알루미늄 합금은 약 0.5 %의 구리를 포함한다.
용어 "비등방성 에칭"은 모든 방향에서 동일 속도로 진행되지 않은 에칭을 말한다. 에칭이 한 방향에서만(예컨대 수직 방향에서만) 진행되는 경우를 완전 비등방성이라고 말한다.
용어 '바이어스 전원"은 이온 충격 에너지와 이온의 기판 쪽으로의 방향성을 제어하는데 사용되는 전원을 말한다.
용어 "구리"는 구리와, 구리 함량이 적어도 80 원자 %인 구리 합금을 말한다. 이 합금은 2개 이상의 원소 성분을 포함할 수 있다.
용어 "피쳐(feature)"는 기판 상의 금속 라인 및 개구와, 반도체 디바이스를 형성하는데 사용되는 기타 구조를 말한다.
용어 "수소 기재 플라즈마"는 인접 표면의 에칭으로 인해 존재하는 입사 반응종에 의한 에칭된 피쳐의 외부 표면의 부식을 감소시키기에 충분히 높은 수소 함량을 가진 플라즈마를 말한다. 수소 기재 플라즈마의 좋은 예는 1997년 8월 13일자 출원된 미국 특허 출원 제08/911,878호에 개시되어 있다. 통상적으로, 수소 기재 플라즈마는 할로겐 소오스 이외에도 예컨대, 플루오린, 염소, 산소, 질소, 또는 탄소, 또는 그 조합을 포함하는 다른 첨가제를 포함할 것이나 이들에 한정되는 것은 아니다.
용어 "이온 충격"은 표면으로부터 원자를 제거하기 위한 이온에 의한 물리적 충격을 말하며, 원자 제거를 위해서는 물리적 운동량 전달이 이용된다.
용어 "등방성 에칭"은 에칭이 모든 방향에서 동일한 속도로 진행되는 에칭 프로세스를 말한다.
용어 "산소 기재 플라즈마"는 중성 또는 대전 형태의 산소 함량이 풍부한 플라즈마를 말한다. 이 플라즈마는 예컨대 질소, 수소, 염소, 플루오린, 또는 탄소를 포함하는 첨가제를 포함하나, 이에 한정되는 것은 아니다. CH4, CF4, NF3와 같은 첨가제도 흔히 사용된다.
용어 "플라즈마"는 양전하와 음전하 수가 거의 동일한 부분적으로 이온화된 가스는 물론 이온화되지 않은 가스 입자를 포함하는 것을 말한다.
용어 "플라즈마 중합 메티실란"은 실온에서 메티실란의 저전력 RF 플라즈마 방전으로부터 피착된 새로운 깊은 UV 레지스트 재료를 말한다. 이 재료는 비정질 유기 실리콘 수소화물 망구조를 갖고 있다. 통상적인 0.25 ㎛ 박막은 초기에 깊은 UV(즉, 248 nm)에서 불투명하지만, 효율적인 광산화에 의해 표백되어 유리형 실록산 망구조 재료를 형성한다.
용어 "소오스 전원"은 에칭 챔버 내에서 직접 또는 마이크로웨이브 플라즈마 발생기의 경우에서처럼 원격적으로 이온과 중성 입자를 발생시키는데 사용되는 전력을 말한다.
용어 "기판"은 반도체 재료, 유리, 세라믹, 중합체 재료, 반도체 산업에서 사용되는 기타 재료를 말한다.
〈Ⅱ. 본 발명을 실시하기 위한 장치〉
본 명세서에서 개시된 바람직한 실시예의 에칭 공정들은 캘리포니아주 산타클라에 소재한 어플라이드 머티어리얼사에서 입수 가능한 Centura Integrated Processing System에서 수행되었다. 이 시스템은 여기에 참조로서 포함되는 미국 특허 제 5,186,718호에 개시되어 있다. 이 장비는 [the Proceedings of the Eleventh International Symposium of Plasma Proceeding, May 7, 1996]에서 Yan Ye 등이 발표하였고 [Electrochemical Society Proceedings, Volume 96-12, pp. 222-233(1996)]에서 출간된 종류의 분리 플라즈마 소오스(DPS)를 포함한다. 플라즈마 처리 챔버는 8인치(200 mm) 직경 실리콘 웨이퍼의 처리를 가능하게 한다.
도 4에는 개략적인 처리 챔버가 도시되어 있다. 이 도면에 도시된 에칭 처리 챔버(410)는 이 에칭 처리 챔버(410)의 외부에 위치하며 무선 주파수(RF) 전원 발생기(418)에 연결된 적어도 하나의 유도성 코일 안테나 세그먼트(412)를 포함하도록 구성되어 있다. 처리 챔버 내부에는 기판(414) 지지대(416)가 있으며, 이것은 임피던스 정합 회로(424)를 통해 RF 주파수 전원 발생기(422)와, 기판 지지대(416)에 인가된 RF 전력의 결과로서 기판에 축적되는 오프셋 바이어스를 위한 전기적 접지로서 작용하는 도전성 챔버 벽(430)에 연결되어 있다.
반도체 기판(414)은 지지대(416) 위에 놓여지며, 입구(426)를 통해 처리 챔버 내로 가스 성분이 공급된다. 본 기술 분야에 잘 알려진 기술을 이용하여 처리 챔버(410)에서 플라즈마가 점화된다. 에칭 처리 챔버(410)의 내부 압력은 진공 펌프(미도시)와 이 진공 펌프와 처리 챔버(410) 사이에 위치한 트로틀 밸브(427)에 의해 제어된다. 에칭 챔버 벽의 표면 온도는 에칭 챔버(410)의 벽에 위치한 액체 함유 콘딧(미도시)에 의해 제어된다. 실험을 위해서, 기판 온도는 약 150 ℃ 이상 약 350 ℃ 이하로 유지되었고, 이것은 기판 지지대에 부착된 저항성 히터를 이용하여 달성되었다. 에칭 챔버(410) 벽의 표면은 전술한 냉각 콘딧에 의해 약 80 ℃로 유지되었다. 제조 공정의 경우, 기판 지지대는 바람직하게는 기판의 후면 가열 또는 냉각을 제공한다.
〈Ⅲ. 에칭 적층 및 그 이용 방법의 제1 바람직한 실시예〉
도 2a 내지 2G는 본 발명의 방법에 의한 단계들을 진행할 때의 본 발명의 에칭 적층의 제1 바람직한 실시예를 도시한 것이다. 도 2a는 완전한 에칭 적층을 도시한 것으로, 실리콘 웨이퍼 표면(미도시) 위에 놓인 대략 1,000 Å 두께의 실리콘 이산화물의 유전체층인 기판(212); 기판(212) 위에 피착된 대략 500 Å 두께의 탄탈륨 질화물로 된 배리어층(214); 배리어층(214) 위에 피착된 대략 8,000 Å 두께의 구리층(216); 구리층(216) 위에 피착되어 배리어층으로서 작용하는 약 500 Å 두께의 탄탈륨 질화물층(218); 고밀도 플라즈마 CVD 기법을 이용하여 탄탈륨 질화물층(218) 위에 피착되어 약 8,000 Å 두께의 층을 생성하는 α-FC를 포함하는 고온 유기 기재 패턴 마스킹 재료층(220); 고온 α-FC층(220) 위에 도포되어 고온 무기 마스킹 재료로서 작용하는 대략 1,000 Å 두께의 실리콘 이산화물 패턴 마스킹층(222); 및 고온 무기 마스킹 재료층(222)의 표면 위에 도포되어 대략 10,000 Å 두께의 I-라인 스텝퍼 재료(본 기술 분야에서 흔히 사용되는 재료이면 됨)의 포토레지스트 이미지 형성층(224)을 포함한다.
도 2a에서, I-라인 포토레지스트 이미지 형성층(224)은 이미 패턴화되어 실리콘 이산화물 패턴 마스킹층(222)과 고온 유기 기재 마스킹층(220)으로 전사될 피쳐 형상을 제공한다. 바람직하게는, 포토레지스트 이미지 형성층(224)의 두께는 고온 무기 마스킹층(222)과 고온 유기 기재 마스킹층(224)을 통한 패턴의 전사 중에 거의 전부 소모되도록 설계된다.
도 2b는 도 2a에서 설명된 플라즈마 에칭 적층을 도시한 것으로, 여기서 포토레지스트 이미지 형성층(224)의 패턴은 고온 실리콘 이산화물 무기 패턴 마스킹층(222)과 α-FC 포함층(220)을 통해 탄탈륨 질화물 배리어층(218)의 상부 표면에 도달하도록 전사되었다. 이 패턴 전사는 앞서 설명된 Centura Integrated Processing System에서 산소 기재 플라즈마를 이용하여 수행되었다. 실리콘 이산화물층(222)의 에칭 중에, 처리 챔버로의 플라즈마 공급 가스는 약 100 sccm의 아르곤과 30 sccm의 CHF3이었다. 에칭 중의 기판 온도는 약 20 ℃이고, 처리 챔버 벽은 약 80 ℃이었다. 에칭 중의 처리 챔버 압력은 약 10 mT이었다. 플라즈마 유도 코일에 공급되는 소오스 전력은 약 1800 W@2 MHz이고, 기판 지지대로 공급되는 바이어스 전력은 약 300 W@13.56 MHz이었다. 본 기술 분야의 표준 기술을 이용하여 플라즈마가 점화되며, 실리콘 이산화물층(222)을 통한 패턴 전사에 드는 시간은 약 15초이었다. α-FC층(220)의 에칭 중에, 처리 챔버로의 플라즈마 공급 가스는 100 sccm의 O2와 10 sccm의 N2이었다. 에칭 중의 기판 온도는 약 20 ℃이고, 처리 챔버 벽은 약 80 ℃이었다. 에칭 중의 처리 챔버 압력은 약 10 mT이었다. 플라즈마 유도 코일에 공급되는 소오스 전력은 약 1000 W@2 MHz이고, 기판 지지대로 공급되는 바이어스 전력은 약 250 W@13.56 MHz이었다. α-FC층(220)을 통한 패턴 전사에 드는 시간은 약 80초이었다.
도 2c는 잔류 포토레지스트 이미지 형성층(224)의 제거 후의, 도 2b에서 설명된 플라즈마 에칭 적층을 도시한 것이다. 잔류 포토레지스트 이미지 형성층(224)은 O2/N2플라즈마를 이용하여 제거되었다. 처리 챔버로의 플라즈마 공급 가스는 100 sccm의 O2와 10 sccm의 N2이었다. 에칭 중의 기판 온도는 약 20 ℃이고, 처리 챔버 벽은 약 80 ℃이었다. 에칭 중의 처리 챔버 압력은 약 10 mT이었다. 플라즈마 유도 코일에 공급되는 소오스 전력은 약 1000 W@2 MHz이고, 기판 지지대로 공급되는 바이어스 전력은 약 250 W@13.56 MHz이었다. 본 기술 분야의 표준 기술을 이용하여 플라즈마가 점화되며, 잔류 포토레지스트 재료의 제거에 드는 시간은 약 20초이었다. 실리콘 이산화물의 하부층(222)은 고온 유기 기재층(220) 위의 에칭 스톱으로 이용되었고, 탄탈륨 질화물 배리어층(218)은 구리층(216)을 보호하는 에칭 스톱으로 이용되었다. 전술한 플라즈마 및 처리 조건들은 고온 α-FC 마스킹층(220)이 잔류 포토레지스트 이미지 형성층(224)의 제거 중에 하부 절단되지 않도록 포토레지스트 이미지 형성층(224)의 비등방성 박리를 제공하였다. 일반적으로 대부분의 포토레지스트 재료를 제거하는데는 산화 플라즈마가 이용될 수 있다.
도 2d는 실리콘 이산화물층(222)이 제거될 수 있는 선택적 단계를 도시한 것이다. 그러나, 피쳐층(216)이 금속(구리)를 포함하는 본 응용과 같은 대부분의 응용에 있어서는, 실리콘 이산화물층(222)의 두께가 적당하다면, 이 층은 피쳐층(216)의 패터닝 중에 자동적으로 제거될 것이다.
도 2e는 탄탈륨 질화물 배리어층(218), 구리층(218), 및 탄탈륨 질화물 배리어층(214)을 통한 실리콘 이산화물 유전체층(212)의 상부면으로의 패턴 전사 후의 플라즈마 에칭 적층을 도시한 것이다. 도전성 구리층(216)과 이에 수반되는 배리어층들(218, 214)의 에칭은 70 sccm의 HCl, 50 sccm의 N2, 및 5 sccm의 BCl3의 처리 챔버로의 공급 가스를 이용하여 수행되었다. 에칭 중의 기판 온도는 약 250 ℃이고, 처리 챔버 벽은 약 80 ℃이었다. 에칭 중의 처리 챔버 압력은 약 20 mT이었다. 플라즈마 유도 코일에 공급되는 소오스 전력은 약 1500 W@2 MHz이고, 기판 지지대로 공급되는 바이어스 전력은 약 600 W@13.56 MHz이었다. 탄탈륨 질화물층(214)을 통한 에칭의 종료점은 약 3,590 Å의 파장에서 센서 측정을 이용한 광학적 모니터링에 의해 측정되었다. 탄탈륨 질화물 배리어층(218), 구리층(218), 및 탄탈륨 질화물 배리어층(214)을 통한 패턴 전사에 드는 시간은 약 150초이었다. 구리 피쳐층(216)의 패터닝 중에 구리의 부식을 방지기 위하여 수소 기재 에칭 화학 물질이 사용되었다. 종래의 산소 및 플루오린 기재 화학 물질의 사용은 산화/부식을 유발할 수 있다.
α-FC층(220), 탄탈륨 질화물층(218), 구리층(216), 및 탄탈륨 질화물층(214)의 상대적 두께와 사용된 에칭 조건에 따라서는, CD(임계 치수) 제어를 제공하는데는 에칭 프로세스의 종료 시에 남아 있는 α-FC층(220)으로도 충분할 것이다. 그러므로, 이 α-FC층의 나머지 부분을 제거하는데는 별도의 처리 공정이 필요하다. α-FC층을 박리하는 공정은 피쳐 패터닝 에칭 챔버 또는 하향 플라즈마 챔버에서 수행될 수 있다.
도 2f는 α-FC층(220)의 나머지 부분의 제거 후의, 배리어층들(214, 218)을 수반한 패턴화된 피쳐층(216)을 도시한 것이다. 바람직하게는, α-FC층(220)은 전술한 종류의 수소 기재 화학 물질을 이용한 비등방성 박리를 통해 또는 에칭된 구리 피쳐 표면의 무반응에 도움이 되는 용제를 이용하는 습식 박리 공정을 통해 제거된다. 본 경우에는 비등방성 건식 박리 기법이 이용되었는데, 처리 챔버로의 공급 가스는 100 sccm의 H2이었다. 에칭 중의 기판 온도는 약 45 ℃이고, 처리 챔버 벽은 약 80 ℃이었다. 에칭 중의 처리 챔버 압력은 약 10 mT이었다. 플라즈마 유도 코일에 공급되는 소오스 전력은 약 1000 W@2 MHz이고, 기판 지지대로 공급되는 바이어스 전력은 약 200 W@13.56 MHz이었다. α-FC층(220)의 나머지 부분의 박리에 드는 시간은 약 120초이었다.
도 2g는 패턴화된 탄탈륨 질화물층(218), 구리층(218), 및 탄탈륨 질화물층(214), 및 실리콘 이산화물 기판(212) 위에 α-C 또는 α-FC와 같은 저유전 상수 재료의 평탄화층(230)을 도포하는 것을 도시한 것이다. 평탄화층은 도 1e에 도시된 종래의 평탄화층에서 관찰된 비평탄면(120)은 없고 진정한 평탄면만 나타낸다. 바람직하게는, 예컨대 스핀 온(spin-on) 기법도 이용할 수 있지만, 본 기술 분야에 공지된 기상 증착 기법을 이용하여 α-C 또는 α-FC가 도포된다.
본 기술 분야의 통상의 전문가는 실리콘 산화물이 아닌 고온 무기 마스킹 재료가 고온 유기물 포함 마스킹 재료 위에 있는 캡핑층으로 사용될 수 있음을 알 것이다. 그 외에도, 통상의 전문가는 α-FC가 아닌 예컨대 α-C, 폴리이미드, 파릴렌, 및 테프론과 같은 고온 유기 기재 마스킹 재료가 사용될 수 있음을 알 것이다. 탄탈륨 질화물이 아닌 예컨대 실리콘 산화 질화물, 탄탈륨, 티타늄 질화물, 텡스템 티타네이트, 및 텡스텐 질화물과 같은 반사 방지/배리어층도 사용될 수 있다. 그리고 마지막으로, 본 방법은 도전성 재료로서 구리를 이용하는 디바이스 피쳐의 에칭에만 한정되는 것은 아니며, 예컨대 텡스텐, 백금, 은, 금, 이리듐, 및 루테늄과 같은 다른 도전성 재료가 마찬가지로 사용될 수 있다.
〈Ⅳ. 에칭 적층 및 그 이용 방법의 제2 바람직한 실시예〉
도 3a 내지 3G는 본 발명의 에칭 적층의 제2 바람직한 실시예와 본 발명의 방법에 따른 단계들의 진행을 도시한 것이다. 도 3a는 완전한 에칭 적층을 도시한 것으로, 실리콘 웨이퍼 표면(미도시) 위에 놓인 대략 10,000 Å 두께의 실리콘 이산화물의 유전체층인 기판(312); 기판(312) 위에 피착된 대략 500 Å 두께의 탄탈륨 질화물로 된 배리어층(314); 배리어층(314) 위에 피착된 대략 8,000 Å 두께의 구리층(316); 구리층(316) 위에 피착된 약 500 Å 두께의 탄탈륨 질화물층(218); 고밀도 플라즈마 CVD 기법을 이용하여 탄탈륨 질화물층(218) 위에 피착되어 약 8,000 Å 두께의 층을 생성하는 α-FC를 포함하는 고온 유기 기재 패턴 마스킹 재료층(220); 및 실온에서 메티실란에서의 저전력 RF 플라즈마 방전으로부터 피착되어 대략 1,000 Å 두께의 층을 생성하는 플라즈마 중합 메티실란(PPMS)층(322)을 포함한다.
이어서, PPMS층은 도 3b에 도시된 바와 같이 깊은 UV에 노출되어 표백되어 PPMS층(322) 내에 유리형 실록산 패턴(324)을 생성하였다.
도 3c는 종래의 기법에 의한 염소 플라즈마 에칭(T.W.Weidman 등의 Journal of Photopolymer Science and Technology, Volume 8, Number 4, 679-686(1995) 참조))을 이용하여 현상된 PPMS 고온 이미지 형성층(324)의 패턴 현상을 도시한 것이다.
이어서, 도 3d에 도시된 바와 같이, 하부 α-FC층(320)은 α-FC층(220)이 패턴화되었던 도 2b를 참조로 전술된 방식으로 산소 기재 플라즈마를 이용하여 에칭되었다. α-FC층(320)을 통한 패턴 전사에 드는 시간은 대략 80초이었다. 산소 기재 플라즈마 화학 물질은 고온 이미지 형성 가능 재료(PPMS)층(322)과 탄탈륨 질화물 배리어층(318)으로부터 형성된 패턴화된 실리콘 이산화물(324)이 α-FC층(320)의 에칭 중에 부식되지 않도록 선택된다. 산소 기재 에칭 조건은 패턴 현상 중에 α-FC층(320)의 하부 절단을 방지하도록 비등방성 에칭 조건을 제공하였다.
도 3e는 탄탈륨 질화물 배리어층(318), 구리층(316), 및 탄탈륨 질화물 배리어층(314)을 통한 실리콘 이산화물 유전체층(312)의 상부면으로의 패턴 전사를 도시한 것이다. 도전성 구리층(316)과 이에 수반되는 배리어층들(318, 314)의 에칭은 도 2e를 참조로 설명된 방법을 이용하여 수행되었다.
α-FC층(320), 탄탈륨 질화물층(318), 구리층(316), 및 탄탈륨 질화물층(314)의 상대적 두께와 사용된 에칭 조건에 따라서는, CD(임계 치수) 제어를 제공하는데는 에칭 프로세스의 종료 시에 남아 있는 α-FC층(320)으로도 충분할 것이다. 그러므로, 이 α-FC층의 나머지 부분을 제거하는데는 별도의 처리 공정이 필요하다. α-FC층을 박리하는 공정은 피쳐 패터닝 에칭 챔버 또는 하향 플라즈마 챔버에서 수행될 수 있다.
도 3f는 α-FC층(320)의 나머지 부분의 제거 후의, 배리어층들(314, 318)을 수반한 패턴화된 피쳐층(316)을 도시한 것이다. 바람직하게는, α-FC층(320)은 전술한 종류의 수소 기재 화학 물질을 이용한 비등방성 박리를 통해 또는 에칭된 구리 피쳐 표면의 무반응에 도움이 되는 용제를 이용하는 습식 박리 공정을 통해 제거된다. 본 경우에는 도 2f를 참조로 설명된 바와 같이 비등방성 건식 박리 기법이 이용되었다.
도 2g는 패턴화된 탄탈륨 질화물층(318), 구리층(318), 및 탄탈륨 질화물층(314), 및 실리콘 이산화물 기판(312) 위에 α-C 또는 α-FC와 같은 저유전 상수 재료의 평탄화층(328)을 도포하는 것을 도시한 것이다. 평탄화층은 도 1e에 도시된 종래의 평탄화층에서 관찰된 비평탄면(120)은 없고 진정한 평탄면만 나타낸다. 바람직하게는, 예컨대 스핀 온(spin-on) 기법도 이용할 수 있지만, 본 기술 분야에 공지된 기상 증착 기법을 이용하여 α-C 또는 α-FC가 도포된다.
본 기술 분야의 통상의 전문가는 PPMS가 아닌 고온 무기 마스킹 재료가 고온 유기물 포함 마스킹 재료로의 패턴 전사를 위한 층으로 사용될 수 있음을 알 것이다. 그 외에도, 통상의 전문가는 앞에서 수록된 것(한정하는 것은 아님)들과 같은 다른 고온 유기 기재 마스킹 재료, ARC 재료, 배리어층 재료, 및 도전성 재료가 사용될 수 있음을 알 것이다.
구리가 도전성 재료로 사용되는 경우에는 상기에서 언급된 미국 특허 출원 제 08/891,410호와 제08/911,878호에 개시된 에칭 방법이 본 발명의 방법과 조합되어 이용되면 좋다.
특히, 미국 특허 출원 제 08/891,410호는 화학적 방식의 에칭 성분없이 이온 충격과 같은 물리적 방식만을 이용하는 에칭 프로세스를 이용하여 인접 재료들 위에서 구리가 수용될 수 있는 속도로 그리고 선택성을 갖고서 패턴 에칭될 수 있음을 개시하고 있다.
첫번째 바람직한 개량된 물리적 충격 기법은 기판 표면에 충돌하는 이온화된 종의 이온 밀도 및/또는 이온 에너지의 증가를 필요로 한다. 이온 밀도의 증가는 바람직하게는 기판 표면 위의 에칭 챔버 내부에 디바이스를 배치함으로써 달성되는데, 이 디바이스는 기판 표면에 충돌하는 이온화된 입자의 수를 증가시킬 수 있다. 이와 같은 디바이스의 예로서는 이온화된 종의 수를 증가시키거나 이온화된 종의 증가된 수가 기판 표면에 충격을 주는데 이용되도록 다른 소오스에 의해 공급된 이온화된 종의 수를 유지하는데 사용되는 유도성 코일이 있다.
이온화된 종의 수를 증가시키는 두번째 바람직한 방법은 챔버 외부에서 생성된 마이크로웨이브 생성 플라즈마를 처리 챔버 내로 공급하는 것이다. 또한, 외부 유도성 결합 코일로의 RF 전력을 증가시킴으로써 이온화된 종의 수를 증가시키거나 이온 종 생성을 위한 용량성 결합 소오스로의 DC 전력을 증가시키는 것이 가능하다. 그러나, 이 두가지 기법은 에칭 중에 생성된 구리( 및 합금) 원자가 외부 코일의 성능에 영향을 미치고 또 용량성 결합 종 생성은 효율이 나쁘기 때문에 이온 밀도를 증가시키는데는 그다지 바람직한 방법은 아니다. 이온 에너지는 이온이 기판 표면에 충돌하는 때의 이온 에너지를 의미한다. 두 번째 바람직한 개량된 물리적 충격 기법은 이온 에너지를 증가시키는 것(기판이 악영향을 받는 것을 막는 것)이다. 이온 에너지는 이온화된 종을 기판 쪽으로 당기는 기판 상의 오프셋 바이어스를 증가시킴으로써 증가될 수 있다. 이것은 통상적으로 기판 설치대로의 RF 전력을 증가시킴으로써 달성된다. 바이어스 전력의 증가 효과는 RF 주파수와 바이어스 접지 면적 대 기판 표면적의 비율에 따라 달라진다. 이온 에너지는 에칭 프로세스 챔버를 저압에서 작동시킴으로써 더 증가된다.
세번째 개량된 물리적인 충격 기법은 이온 밀도나 이온 에너지의 펄싱(pulsing)이다. 이온 에너지를 펄싱하는 한가지 바람직한 수단은 이온 종을 생성하는 디바이스 또는 기판 표면에 충돌하는데 이용될 수 있는 이온화된 종의 수를 증가 또는 유지시키는데 사용되는 디바이스로의 전력을 펄싱하는 것이다. 이와 같은 펄싱은 바람직하게는 에칭 프로세스 챔버 내부에 위치한 디바이스에 인가된다. 이 펄싱 속도는 외부 발생 플라즈마의 에칭 프로세스 챔버 내로의 공급 속도 정도가 될 수 있다. 또한, 펄싱은 플라즈마 생성을 위한 외부 유도성 결합 소오스에 또는 플라즈마 생성을 위한 용량성 결합 소오스에 인가될 수 있다. 이온 에너지를 펄싱하는 보다 더 바람직한 수단은 기판에 인가되는 오프셋 바이어스 소오스로의 전력을 펄싱하는 것이다. 이온 에너지의 펄싱은 에칭 중에 구리 표면을 떠나는 여기된 구리 이온이 인접 위치에 있는 구리 표면에 다시 달라 붙을 가능성을 줄여 준다. 처리 용기 내의 압력도 이온 에너지를 펄싱하는 수단으로서 펄싱될 수 있다.
네번째 개량된 물리적 충격 기법은 써말 포레시스(thermal phoresis)의 이용이다. 써말 포레시스는 기판 표면의 온도가 에칭 챔버 표면(벽)의 온도보다 높을 때에 일어나는데, 이 현상에 의해 고온 기판 표면으로부터 이탈된 입자들은 저온 챔버 표면으로 당겨진다.
화학 반응 이온 성분의 농도가 물리적 충격 우세 에칭 영역에서 에칭이 수행될 정도로 충분하 낮다면 화학 반응 이온 성분과 물리적 이온 충격을 조합하여 이용할 수 있다. 바람직하게는 이 조합 기술은 약 150 ℃ 이상의 온도와 약 50 mT 이하의 압력에서 수행된다. 물리적 충격에 의해 생기는 추가적 에너지가 휘발성 화학 반응 생성 화합물의 형성에 부가되므로 구리 제거 속도는 휘발성 화합물의 형성 속도와 이와 같은 휘발성 화합물의 제거를 용이하게 하는 낮은 프로세스 챔버 압력에만 의존하는 것은 아니다. 물리적 이온 충격이 에칭 프로세스를 지배하는 경우에는 프로세스 내의 압력이 조정되어 이온 충격을 증가시킬 수가 있다. 전체적인 시너지 효과가 생겨 구리 이온 제거 속도를 향상시키게 된다. 바람직한 화학적 반응 이온 종은 Cl2, HCl, BCl3, HBr, CHF3, CF4, SiCl4, 및 그 조합과 같은 저분자량을 가진 할로겐 포함종 또는 화합물이다. 염소 포함 종이 사용되는 경우에는, 에칭 챔버로의 공급 가스 내에 존재하는 염소 포함 성분은 패턴화된 구리 에칭 중에 에칭 챔버 내로 공급된 가스의 30 체적 % 이하이어야 한다. N2, NH3, 및 CH4와 같은 무반응제는 화학적 반응 이온 종과 조합하여 사용될 수 있다. 미국 출원 제 08/891,410호의 내용은 여기서 그 전체가 참조로서 포함된다.
미국 출원 제 08/911,878호는 에칭 프로세스 중에 구리의 부식을 방지하기 위하여 HCl과 HBr 화학 물질을 사용하는 다른 구리 에칭 기법을 개시하고 있다. 특히, 구리는 에칭되는 구리 피쳐의 표면이 에칭 중에 잘 보호된다면 원하는 피쳐 치수와 무결성을 제공하면서 HCl과 HBr의 존재 하에서 패턴 에칭될 수 있다. 에칭된 구리 표면의 내부의 부식제로서 작용할 수 있는 반응 종의 트래핑을 방지하기 위하여 그 표면에 수소가 공급된다. 수소는 구리 외부 표면 상에 흡수되며 구리의 외부 표면 내로 흡수될 수 있으므로 (이 흡수가 없었더라면) 그 외부 표면을 관통하여 그 표면 내부의 구리와 반응했을 수도 있는 종과 반응하는데 이용될 수가 있다. 인접 피쳐 표면의 에칭으로 인해 존재하는 입사 반응 종이 이미 에칭된 피쳐 외부 표면을 관통하는 것을 방지하기 위해서는 구리 피쳐의 에칭된 부분의 외부 표면에 충분한 수소가 공급되어야 한다.
충분한 량의 수소를 발생시킬 수 있는 수소 포함 플라즈마 공급 가스 성분이 사용되어도 좋지만, 본 발명의 가장 바람직한 실시예는 수소와 할로겐을 모두 함유하는 성분을 이용하는 것이다. 바람직한 예는 염화 수소(HCl) 및/또는 브롬화 수소(HBr)로서, 이들은 구리 에칭을 위한 반응 종의 주요 소오스로서 이용된다. HCl 및/또는 HBr의 분해는 에칭된 구리 표면의 보호를 위해 다량의 수소를 공급하며, 이에 의해서 에칭된 표면에 인접한 반응 종에 의한 관통을 방지한다. 에칭 프로세스 챔버 내의 반응 종 밀도가 특히 높은 경우에는 HCl 및/또는 HBr을 포함하는 플라즈마 공급 가스에 수소 가스가 더 첨가될 수 있다. 수소 해방 할로겐 포함 플라즈마 공급 가스 성분은 다른 플라즈마 에칭 종과 조합하여 첨가제로서 사용될 수 있다(플라즈마 생성 반응 종의 40 % 이하를 생성함).
HCl 및/또는 HBr가 구리 에칭을 위한 반응 종의 주요 소오스로서 사용되면, 플라즈마에 의해 생성된 반응 종의 적어도 40 %, 더 바람직하게는 50 %를 책임진다. 에칭 중에 피쳐 표면 무반응을 위해서 또는 피쳐 표면 에칭이 완료 또는 거의 완료된 후에 피쳐 표면 보호를 위해서 다른 반응 종이 사용될 수도 있다. 구리 피쳐의 에칭 중에 표면 무반응 또는 표면 보호를 위해 첨가된 종은 플라즈마 생성 반응 종의 30 5 이하, 더 바람직하게는 10 % 이하를 구성한다. 예시적으로, 플라즈마 공급 가스에 첨가될 수 있는 첨가 가스는 CH4, CH3F, BCl3, N2, NH3, SiCl4, CCl4, 및 CHF3를 포함한다. 플라즈마 공급 가스는 반응 종의 이온화, 분리, 또는 희석을 촉진하기 위하여 아르곤, 헬륨, 또는 크세논과 같은 첨가 불활성(구리와 무반응) 가스를 포함할 수 있다. 중요한 것은 에칭 프로세스 중에 피쳐 표면에서의 수소 이용이다. 미국 특허 출원 제 08/911,878호의 내용은 여기에 그 전체로서 참조로 포함된다.
전술한 바람직한 실시예들은 본 발명의 범위를 한정하는 것은 아니며, 본 기술 분야의 통상의 전문가라면 본 명세서에 개시된 내용을 가지고서 이와 같은 실시예들을 확장하여 첨부된 청구범위와 일치되게 할 수 있다.

Claims (45)

  1. 반도체 디바이스 피쳐(feature)를 패터닝하는 방법에 있어서,
    (a) 고온 무기 마스킹 재료층을 통해 패턴화된 포토레지스트층으로부터 패턴을 전사하는 단계;
    (b) 고온 유기 기재 마스킹 재료의 하부층을 통해 상기 단계 (a) 후에 남아 있는 다층 구조로부터 패턴을 전사하는 단계; 및
    (c) 상기 단계 (b) 후에 남아 있는 포토레지스트 재료를 제거하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서,
    (d) 상기 고온 유기 기재 마스킹 재료 위에 있는 적어도 하나의 피쳐층을 통해 상기 단계 (c) 후에 존재하는 다층 구조로부터 패턴을 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. 제2항에 있어서,
    (e) 상기 피쳐층의 표면으로부터 잔류 고온 유기 기재 마스킹층 재료를 제거하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  4. 제3항에 있어서,
    (f) 트랜지스터의 게이트 속도를 증가시키기 위하여 일정 유전 상수를 가진 유기 기재 재료의 평탄화층을 도포하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  5. 제1항에 있어서,
    (c-2) 상기 단계 (c) 후에 남아 있는 상기 고온 무기 마스킹 재료의 일부를 제거하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  6. 제1항에 있어서, 상기 단계 (b)의 상기 고온 유기 기재 마스킹 재료를 통한 전사는 비등방성 플라즈마 에칭을 이용하여 달성되는 것을 특징으로 하는 방법.
  7. 제1항에 있어서, 상기 단계 (c)의 포토레지스트 제거는 비등방성 에칭을 발생하는 방식으로 산소 기재 플라즈마를 이용하여 달성되는 것을 특징으로 하는 방법.
  8. 제2항에 있어서, 상기 단계 (d)의 패턴 전사는 비등방성 에칭을 발생하는 방식으로 달성되는 것을 특징으로 하는 방법.
  9. 제2항에 있어서, 적어도 하나의 피쳐층은 구리를 포함하는 것을 특징으로 하는 방법.
  10. 제9항에 있어서, 상기 구리는 증강형(enhanced) 물리적 충격을 이용하여 에칭되는 것을 특징으로 하는 방법.
  11. 제9항에 있어서, 상기 구리는 화학 반응 이온 성분과 증강형 물리적 충격과의 조합을 이용하여 에칭되는 것을 특징으로 하는 방법.
  12. 제9항에 있어서, 상기 구리는 HCl 화학 물질, HBr 화학 물질, 또는 그 조합으로 이루어진 그룹으로부터 선택된 화학 물질을 이용하여 에칭되는 것을 특징으로 하는 방법.
  13. 제8항에 있어서, 상기 고온 무기 마스킹 재료의 두께는 이 재료가 상기 단계 (d)의 패턴 전사 중에 소모되도록 설계되는 것을 특징으로 하는 방법.
  14. 제3항에 있어서, 상기 고온 유기 기재 마스킹층 재료는 비등방성 에칭을 발생하는 방식으로 제거되는 것을 특징으로 하는 방법.
  15. 제3항에 있어서, 상기 고온 유기 기재 마스킹층 재료는 화학 반응 이온 성분과 증강형 물리적 충격과의 조합을 이용하여 제거되는 것을 특징으로 하는 방법.
  16. 제3항에 있어서, 상기 고온 유기 기재 마스킹층 재료는 HCl 화학 물질, HBr 화학 물질, 또는 그 조합으로 이루어진 그룹으로부터 선택된 화학 물질을 이용하여 제거되는 것을 특징으로 하는 방법.
  17. 제3항에 있어서, 상기 고온 유기 기재 마스킹층 재료는 습식 박리(stripping) 기법을 이용하여 제거되는 것을 특징으로 하는 방법.
  18. 제17항에 있어서, 상기 습식 박리 기법은 상기 피쳐층의 적어도 한 표면을 무반응시키는(passivate) 것을 특징으로 하는 방법.
  19. 제1항에 있어서, 상기 단계 (a) 이전에, 상기 고온 유기 기재 마스킹층이 플라즈마 방식 기법을 이용하여 피착되는 단계를 더 포함하는 것을 특징으로 하는 방법.
  20. 제1항에 있어서, 상기 단계 (a) 이전에, 상기 고온 유기 기재 마스킹층이 CVD 기법을 이용하여 피착되는 단계 (x)를 더 포함하는 것을 특징으로 하는 방법.
  21. 반도체 디바이스 피쳐를 패터닝하는 방법에 있어서,
    (a) 하부층들을 통해 원하는 패턴을 전사하는데 사용될 수 있는 패턴화된 마스크를 생성하기 위하여, 플라즈마 에칭 기법을 이용하여 고온 이미지 형성 가능 재료를 특정 패턴으로 현상하는 단계; 및
    (b) 상기 단계 (a)에서 형성된 패턴을 고온 유기 기재 마스킹 재료의 하부층을 통해 전사하는 단계를 포함하는 것을 특징으로 하는 방법.
  22. 제21항에 있어서,
    (c) 상기 고온 유기 기재 마스킹 재료 위에 있는 적어도 하나의 피쳐층을 통해 상기 단계 (b) 후에 존재하는 다층 구조로부터 패턴을 전사하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  23. 제22항에 있어서,
    (d) 상기 피쳐층의 표면으로부터 잔류 고온 유기 기재 마스킹층 재료를 제거하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  24. 제23항에 있어서,
    (e) 트랜지스터의 게이트 속도를 증가시키기 위하여 일정 유전 상수를 가진 유기 기재 재료의 평탄화층을 도포하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  25. 제21항에 있어서, 상기 단계 (b)의 상기 고온 유기 기재 마스킹 재료를 통한 전사는 비등방성 플라즈마 에칭을 이용하여 달성되는 것을 특징으로 하는 방법.
  26. 제23항에 있어서, 상기 단계 (d)의 마스킹층 재료 제거는 비등방성 에칭을 발생하는 방식으로 달성되는 것을 특징으로 하는 방법.
  27. 제23항에 있어서, 적어도 하나의 피쳐층은 구리를 포함하는 것을 특징으로 하는 방법.
  28. 제27항에 있어서, 상기 구리는 증강형 물리적 충격을 이용하여 에칭되는 것을 특징으로 하는 방법.
  29. 제27항에 있어서, 상기 구리는 화학 반응 이온 성분과 증강형 물리적 충격과의 조합을 이용하여 에칭되는 것을 특징으로 하는 방법.
  30. 제27항에 있어서, 상기 구리는 HCl 화학 물질, HBr 화학 물질, 또는 그 조합으로 이루어진 그룹으로부터 선택된 화학 물질을 이용하여 에칭되는 것을 특징으로 하는 방법.
  31. 제8항에 있어서, 상기 고온 무기 마스킹 재료의 두께는 이 재료가 상기 단계 (c)의 패턴 전사 중에 소모되도록 설계되는 것을 특징으로 하는 방법.
  32. 제23항에 있어서, 상기 고온 유기 기재 마스킹층 재료는 비등방성 에칭을 발생하는 방식으로 제거되는 것을 특징으로 하는 방법.
  33. 제23항에 있어서, 상기 고온 유기 기재 마스킹층 재료는 화학 반응 이온 성분과 증강형 물리적 충격과의 조합을 이용하여 제거되는 것을 특징으로 하는 방법.
  34. 제23항에 있어서, 상기 고온 유기 기재 마스킹층 재료는 HCl 화학 물질, HBr 화학 물질, 또는 그 조합으로 이루어진 그룹으로부터 선택된 화학 물질을 이용하여 제거되는 것을 특징으로 하는 방법.
  35. 제23항에 있어서, 상기 고온 유기 기재 마스킹층 재료는 습식 박리 기법을 이용하여 제거되는 것을 특징으로 하는 방법.
  36. 제35항에 있어서, 상기 습식 박리 기법은 상기 피쳐층의 적어도 한 표면을 무반응시키는(passivate) 것을 특징으로 하는 방법.
  37. 제21항에 있어서, 상기 단계 (a) 이전에, 상기 고온 이미지 형성 재료가 실란 기재 또는 TEOS 기재 화학 물질을 이용하여 피착되는 단계 (x)를 더 포함하는 것을 특징으로 하는 방법.
  38. 제37항에 있어서, 상기 단계 (x) 이전에, 상기 고온 유기 기재 마스킹층이 플라즈마 방식 기법을 이용하여 피착되는 단계 (y)를 더 포함하는 것을 특징으로 하는 방법.
  39. 제37항에 있어서, 상기 단계 (x) 이전에, 상기 고온 유기 기재 마스킹층이 CVD 기법을 이용하여 피착되는 단계 (z)를 더 포함하는 것을 특징으로 하는 방법.
  40. 반도체 피쳐를 에칭하기 위한 마스크 적층에 있어서,
    (a) 저온 이미지 형성 가능 재료층;
    (b) 상기 저온 이미지 형성 가능 재료 위에 놓인 고온 무기 재료층; 및
    (c) 상기 고온 무기 재료 위에 놓인 고온 유기 기재 재료층을 포함하는 것을 특징으로 하는 마스크 적층.
  41. 제40항에 있어서, 상기 고온 유기 기재 재료층은 α-C 또는 α-FC 재료로 구성된 것을 특징으로 하는 마스크 적층.
  42. 제41항에 있어서, α-C 또는 α-FC층은 CVD 기법을 이용하여 형성되는 것을 특징으로 하는 마스크 적층.
  43. 반도체 피쳐를 에칭하기 위한 마스크 적층에 있어서,
    (a) 고온 이미지 형성 가능 재료층; 및
    (b) 상기 고온 이미지 형성 가능 재료 위에 놓인 고온 유기 기재 재료층을 포함하는 것을 특징으로 하는 마스크 적층.
  44. 제43항에 있어서, 상기 고온 이미지 형성층은 실란 기재 출발 재료로부터 또는 TEOS 기재 화학 물질을 이용하여 형성되는 것을 특징으로 하는 마스크 적층.
  45. 제44항에 있어서, 상기 고온 유기 기재 재료층은 α-C 또는 α-FC 재료로 구성된 것을 특징으로 하는 마스크 적층.
KR1020007006422A 1997-12-12 1998-12-04 유기 마스크 적층을 이용한 패턴화된 층의 고온 에칭 방법 KR20010033061A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/991,219 1997-12-12
US08/991,219 US6143476A (en) 1997-12-12 1997-12-12 Method for high temperature etching of patterned layers using an organic mask stack
PCT/US1998/025699 WO1999031718A1 (en) 1997-12-12 1998-12-04 Method for high temperature etching of patterned layers using an organic mask stack

Publications (1)

Publication Number Publication Date
KR20010033061A true KR20010033061A (ko) 2001-04-25

Family

ID=25536991

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020007006422A KR20010033061A (ko) 1997-12-12 1998-12-04 유기 마스크 적층을 이용한 패턴화된 층의 고온 에칭 방법
KR1020017004916A KR20010085939A (ko) 1997-12-12 1999-10-08 에칭 처리 단계에서 마스킹으로서 사용될 수 있는패턴화된 층을 에칭하는 방법 또는 다마신 구조

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020017004916A KR20010085939A (ko) 1997-12-12 1999-10-08 에칭 처리 단계에서 마스킹으로서 사용될 수 있는패턴화된 층을 에칭하는 방법 또는 다마신 구조

Country Status (5)

Country Link
US (2) US6143476A (ko)
EP (1) EP1038310A1 (ko)
JP (1) JP2002509353A (ko)
KR (2) KR20010033061A (ko)
WO (1) WO1999031718A1 (ko)

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008140A (en) * 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6107191A (en) * 1997-11-07 2000-08-22 Lucent Technologies Inc. Method of creating an interconnect in a substrate and semiconductor device employing the same
US6417569B1 (en) * 1997-12-11 2002-07-09 Taiwan Semiconductor Manufacturing Company Fluorine-doped silicate glass hard mask to improve metal line etching profile
US6169664B1 (en) * 1998-01-05 2001-01-02 Texas Instruments Incorporated Selective performance enhancements for interconnect conducting paths
US6919168B2 (en) * 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6660647B1 (en) * 1998-03-12 2003-12-09 Hitachi, Ltd. Method for processing surface of sample
US6352937B1 (en) * 1998-04-27 2002-03-05 Sony Corporation Method for stripping organic based film
JP3219056B2 (ja) * 1998-08-12 2001-10-15 日本電気株式会社 有機絶縁膜の加工方法
US6093973A (en) * 1998-09-30 2000-07-25 Advanced Micro Devices, Inc. Hard mask for metal patterning
JP4212707B2 (ja) * 1998-11-26 2009-01-21 スピードファム株式会社 ウエハ平坦化システム及びウエハ平坦化方法
US6277745B1 (en) * 1998-12-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Passivation method of post copper dry etching
US6291339B1 (en) * 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Bilayer interlayer dielectric having a substantially uniform composite interlayer dielectric constant over pattern features of varying density and method of making the same
EP1020927A1 (en) * 1999-01-13 2000-07-19 Lucent Technologies Inc. Thin film capacitor comprising a barrier layer between a tantalum pentoxide layer and a copper layer
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
JP3330554B2 (ja) * 1999-01-27 2002-09-30 松下電器産業株式会社 エッチング方法
JP2003529914A (ja) * 1999-02-17 2003-10-07 アプライド マテリアルズ インコーポレイテッド 高密度ramキャパシタの電極をパターン化する改良マスキング法及びエッチング配列
JP2000252359A (ja) * 1999-03-03 2000-09-14 Sony Corp 絶縁膜のエッチング方法および配線層の形成方法
US6156642A (en) * 1999-03-23 2000-12-05 United Microelectronics Corp. Method of fabricating a dual damascene structure in an integrated circuit
US6169029B1 (en) * 1999-05-03 2001-01-02 Winband Electronics Corp. Method of solving metal stringer problem which is induced by the product of tin and organic ARC reaction
US6355979B2 (en) * 1999-05-25 2002-03-12 Stmicroelectronics, Inc. Hard mask for copper plasma etch
US6399284B1 (en) * 1999-06-18 2002-06-04 Advanced Micro Devices, Inc. Sub-lithographic contacts and vias through pattern, CVD and etch back processing
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6368514B1 (en) * 1999-09-01 2002-04-09 Luminous Intent, Inc. Method and apparatus for batch processed capacitors using masking techniques
US20050158666A1 (en) * 1999-10-15 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple etch method for etching material etchable with oxygen containing plasma
US6268287B1 (en) * 1999-10-15 2001-07-31 Taiwan Semiconductor Manufacturing Company Polymerless metal hard mask etching
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6261967B1 (en) * 2000-02-09 2001-07-17 Infineon Technologies North America Corp. Easy to remove hard mask layer for semiconductor device fabrication
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7115523B2 (en) 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US6391790B1 (en) 2000-05-22 2002-05-21 Applied Materials, Inc. Method and apparatus for etching photomasks
JP3403373B2 (ja) * 2000-05-26 2003-05-06 松下電器産業株式会社 有機膜のエッチング方法、半導体装置の製造方法及びパターンの形成方法
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
DE10037957C1 (de) * 2000-07-27 2002-02-28 Infineon Technologies Ag Verfahren zum anisotropen Trockenätzen organischer Antireflexionsschichten
US6455431B1 (en) * 2000-08-01 2002-09-24 Applied Materials Inc. NH3 plasma descumming and resist stripping in semiconductor applications
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6657284B1 (en) 2000-12-01 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Graded dielectric layer and method for fabrication thereof
US6743732B1 (en) * 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
US6294457B1 (en) * 2001-02-01 2001-09-25 Taiwan Semiconductor Manufacturing Company Optimized IMD scheme for using organic low-k material as IMD layer
US6429121B1 (en) * 2001-02-07 2002-08-06 Advanced Micro Devices, Inc. Method of fabricating dual damascene with silicon carbide via mask/ARC
US6620733B2 (en) * 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6893969B2 (en) * 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6841483B2 (en) * 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6465343B1 (en) * 2001-02-28 2002-10-15 Advanced Micro Devices, Inc. Method for forming backend interconnect with copper etching and ultra low-k dielectric materials
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US20020155693A1 (en) * 2001-04-23 2002-10-24 Chartered Semiconductor Manufacturing Ltd. Method to form self-aligned anti-via interconnects
US6503845B1 (en) 2001-05-01 2003-01-07 Applied Materials Inc. Method of etching a tantalum nitride layer in a high density plasma
US6559001B2 (en) 2001-05-30 2003-05-06 International Business Machines Corporation Methods of patterning a multi-layer film stack and forming a lower electrode of a capacitor
KR100531419B1 (ko) 2001-06-12 2005-11-28 주식회사 하이닉스반도체 반도체소자 및 그의 제조방법
US20020192944A1 (en) * 2001-06-13 2002-12-19 Sonderman Thomas J. Method and apparatus for controlling a thickness of a copper film
US20030003374A1 (en) * 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
KR100825130B1 (ko) * 2001-07-06 2008-04-24 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
JP4133810B2 (ja) * 2001-07-10 2008-08-13 東京エレクトロン株式会社 ドライエッチング方法
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6541380B2 (en) * 2001-07-24 2003-04-01 Applied Materials Inc. Plasma etching process for metals and metal oxides, including metals and metal oxides inert to oxidation
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6709875B2 (en) 2001-08-08 2004-03-23 Agilent Technologies, Inc. Contamination control for embedded ferroelectric device fabrication processes
WO2003021659A1 (en) 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
KR100685947B1 (ko) * 2001-09-08 2007-02-23 엘지.필립스 엘시디 주식회사 액정표시소자의 제조방법
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
JP3739325B2 (ja) * 2001-09-20 2006-01-25 株式会社日立製作所 有機絶縁膜のエッチング方法
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6649531B2 (en) 2001-11-26 2003-11-18 International Business Machines Corporation Process for forming a damascene structure
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6737747B2 (en) * 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
JP2003282535A (ja) * 2002-03-20 2003-10-03 Sanyo Electric Co Ltd 半導体装置の製造方法
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
WO2003089990A2 (en) * 2002-04-19 2003-10-30 Applied Materials, Inc. Process for etching photomasks
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
KR100464430B1 (ko) * 2002-08-20 2005-01-03 삼성전자주식회사 하드 마스크를 이용한 알루미늄막 식각 방법 및 반도체소자의 배선 형성 방법
DE10240099A1 (de) * 2002-08-30 2004-03-11 Infineon Technologies Ag Herstellungsverfahren für eine Halbleiterstruktur
US20040063008A1 (en) * 2002-09-26 2004-04-01 Advanced Micro Devices, Inc. Post etch overlay metrology to avoid absorbing layers preventing measurements
US6797552B1 (en) * 2002-11-19 2004-09-28 Advanced Micro Devices, Inc. Method for defect reduction and enhanced control over critical dimensions and profiles in semiconductor devices
US7344991B2 (en) 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US6802945B2 (en) * 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7253115B2 (en) * 2003-02-06 2007-08-07 Applied Materials, Inc. Dual damascene etch processes
KR100493048B1 (ko) * 2003-02-13 2005-06-02 삼성전자주식회사 다층의 하드 마스크를 이용하여 배선 및 연결 콘택 구조를형성하는 방법
US6960413B2 (en) * 2003-03-21 2005-11-01 Applied Materials, Inc. Multi-step process for etching photomasks
US8048325B2 (en) * 2003-03-31 2011-11-01 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
WO2004095551A1 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US6764927B1 (en) * 2003-04-24 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Chemical vapor deposition (CVD) method employing wetting pre-treatment
US20040229470A1 (en) * 2003-05-14 2004-11-18 Applied Materials, Inc. Method for etching an aluminum layer using an amorphous carbon mask
US8101025B2 (en) * 2003-05-27 2012-01-24 Applied Materials, Inc. Method for controlling corrosion of a substrate
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7799685B2 (en) * 2003-10-13 2010-09-21 Mattson Technology, Inc. System and method for removal of photoresist in transistor fabrication for integrated circuit manufacturing
KR100562985B1 (ko) * 2003-12-30 2006-03-23 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
JP2005277375A (ja) * 2004-02-27 2005-10-06 Nec Electronics Corp 半導体装置の製造方法
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US6931991B1 (en) * 2004-03-31 2005-08-23 Matsushita Electric Industrial Co., Ltd. System for and method of manufacturing gravure printing plates
US7122489B2 (en) * 2004-05-12 2006-10-17 Matsushita Electric Industrial Co., Ltd. Manufacturing method of composite sheet material using ultrafast laser pulses
KR100598105B1 (ko) * 2004-06-17 2006-07-07 삼성전자주식회사 반도체 패턴 형성 방법
US7148142B1 (en) 2004-06-23 2006-12-12 Advanced Micro Devices, Inc. System and method for imprint lithography to facilitate dual damascene integration in a single imprint act
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US20060019099A1 (en) * 2004-07-20 2006-01-26 General Electric Company Method for making multilayer film, sheet and articles therefrom
US7208420B1 (en) * 2004-07-22 2007-04-24 Lam Research Corporation Method for selectively etching an aluminum containing layer
US20060021971A1 (en) * 2004-07-30 2006-02-02 Kevin Pears Method for plasma treatment of a carbon layer
US7791290B2 (en) 2005-09-30 2010-09-07 Virgin Islands Microsystems, Inc. Ultra-small resonating charged particle beam modulator
US20070034518A1 (en) * 2005-08-15 2007-02-15 Virgin Islands Microsystems, Inc. Method of patterning ultra-small structures
US7586097B2 (en) 2006-01-05 2009-09-08 Virgin Islands Microsystems, Inc. Switching micro-resonant structures using at least one director
US20060035173A1 (en) * 2004-08-13 2006-02-16 Mark Davidson Patterning thin metal films by dry reactive ion etching
US7626179B2 (en) * 2005-09-30 2009-12-01 Virgin Island Microsystems, Inc. Electron beam induced resonance
JP2006086500A (ja) * 2004-08-18 2006-03-30 Toshiba Corp 半導体装置の製造方法
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100759418B1 (ko) * 2004-10-11 2007-09-20 삼성전자주식회사 반도체 제조공정의 얼라인먼트 측정방법
US7138717B2 (en) * 2004-12-01 2006-11-21 International Business Machines Corporation HDP-based ILD capping layer
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
WO2006107942A1 (en) * 2005-04-05 2006-10-12 Analog Devices, Inc. Vapor hf etch process mask and method
KR100669560B1 (ko) * 2005-05-16 2007-01-15 주식회사 하이닉스반도체 반도체 소자의 도전 배선 형성 방법
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
JP2007053220A (ja) * 2005-08-18 2007-03-01 Oki Electric Ind Co Ltd 半導体装置の製造方法
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
WO2007064358A2 (en) * 2005-09-30 2007-06-07 Virgin Islands Microsystems, Inc. Structures and methods for coupling energy from an electromagnetic wave
US7557025B2 (en) * 2005-11-04 2009-07-07 United Microelectronics Corp. Method of etching a dielectric layer to form a contact hole and a via hole and damascene method
US7470920B2 (en) * 2006-01-05 2008-12-30 Virgin Islands Microsystems, Inc. Resonant structure-based display
US20070152781A1 (en) * 2006-01-05 2007-07-05 Virgin Islands Microsystems, Inc. Switching micro-resonant structures by modulating a beam of charged particles
JP4693642B2 (ja) * 2006-01-30 2011-06-01 株式会社東芝 半導体装置の製造方法および洗浄装置
US7282776B2 (en) * 2006-02-09 2007-10-16 Virgin Islands Microsystems, Inc. Method and structure for coupling two microcircuits
WO2007098485A2 (en) * 2006-02-21 2007-08-30 Nusmile, Ltd. Prefabricated dental crowns
US20090286205A1 (en) * 2006-02-21 2009-11-19 Johnson Jason K Prefabricated Dental Crowns
US7443358B2 (en) * 2006-02-28 2008-10-28 Virgin Island Microsystems, Inc. Integrated filter in antenna-based detector
US20070200071A1 (en) * 2006-02-28 2007-08-30 Virgin Islands Microsystems, Inc. Coupling output from a micro resonator to a plasmon transmission line
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7492868B2 (en) * 2006-04-26 2009-02-17 Virgin Islands Microsystems, Inc. Source of x-rays
US7646991B2 (en) 2006-04-26 2010-01-12 Virgin Island Microsystems, Inc. Selectable frequency EMR emitter
US7876793B2 (en) 2006-04-26 2011-01-25 Virgin Islands Microsystems, Inc. Micro free electron laser (FEL)
US20070258720A1 (en) * 2006-05-05 2007-11-08 Virgin Islands Microsystems, Inc. Inter-chip optical communication
US7476907B2 (en) * 2006-05-05 2009-01-13 Virgin Island Microsystems, Inc. Plated multi-faceted reflector
US20070258492A1 (en) * 2006-05-05 2007-11-08 Virgin Islands Microsystems, Inc. Light-emitting resonant structure driving raman laser
US7746532B2 (en) 2006-05-05 2010-06-29 Virgin Island Microsystems, Inc. Electro-optical switching system and method
US20070258675A1 (en) * 2006-05-05 2007-11-08 Virgin Islands Microsystems, Inc. Multiplexed optical communication between chips on a multi-chip module
US7728397B2 (en) * 2006-05-05 2010-06-01 Virgin Islands Microsystems, Inc. Coupled nano-resonating energy emitting structures
US7443577B2 (en) * 2006-05-05 2008-10-28 Virgin Islands Microsystems, Inc. Reflecting filtering cover
US7718977B2 (en) * 2006-05-05 2010-05-18 Virgin Island Microsystems, Inc. Stray charged particle removal device
US7723698B2 (en) * 2006-05-05 2010-05-25 Virgin Islands Microsystems, Inc. Top metal layer shield for ultra-small resonant structures
US7442940B2 (en) * 2006-05-05 2008-10-28 Virgin Island Microsystems, Inc. Focal plane array incorporating ultra-small resonant structures
US7728702B2 (en) 2006-05-05 2010-06-01 Virgin Islands Microsystems, Inc. Shielding of integrated circuit package with high-permeability magnetic material
US7732786B2 (en) 2006-05-05 2010-06-08 Virgin Islands Microsystems, Inc. Coupling energy in a plasmon wave to an electron beam
US8188431B2 (en) 2006-05-05 2012-05-29 Jonathan Gorrell Integration of vacuum microelectronic device with integrated circuit
US7710040B2 (en) 2006-05-05 2010-05-04 Virgin Islands Microsystems, Inc. Single layer construction for ultra small devices
US7436177B2 (en) * 2006-05-05 2008-10-14 Virgin Islands Microsystems, Inc. SEM test apparatus
US7741934B2 (en) 2006-05-05 2010-06-22 Virgin Islands Microsystems, Inc. Coupling a signal through a window
US7986113B2 (en) 2006-05-05 2011-07-26 Virgin Islands Microsystems, Inc. Selectable frequency light emitter
US7359589B2 (en) * 2006-05-05 2008-04-15 Virgin Islands Microsystems, Inc. Coupling electromagnetic wave through microcircuit
US7656094B2 (en) * 2006-05-05 2010-02-02 Virgin Islands Microsystems, Inc. Electron accelerator for ultra-small resonant structures
US7342441B2 (en) * 2006-05-05 2008-03-11 Virgin Islands Microsystems, Inc. Heterodyne receiver array using resonant structures
US7679067B2 (en) 2006-05-26 2010-03-16 Virgin Island Microsystems, Inc. Receiver array using shared electron beam
US20070274365A1 (en) * 2006-05-26 2007-11-29 Virgin Islands Microsystems, Inc. Periodically complex resonant structures
US7795149B2 (en) * 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7655934B2 (en) * 2006-06-28 2010-02-02 Virgin Island Microsystems, Inc. Data on light bulb
CN100570485C (zh) * 2006-07-07 2009-12-16 中国科学院半导体研究所 二维纳米结构深刻蚀方法
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US7450794B2 (en) * 2006-09-19 2008-11-11 Virgin Islands Microsystems, Inc. Microcircuit using electromagnetic wave routing
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US7659513B2 (en) 2006-12-20 2010-02-09 Virgin Islands Microsystems, Inc. Low terahertz source and detector
US20080242072A1 (en) * 2007-03-26 2008-10-02 Texas Instruments Incorporated Plasma dry etch process for metal-containing gates
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7990336B2 (en) 2007-06-19 2011-08-02 Virgin Islands Microsystems, Inc. Microwave coupled excitation of solid state resonant arrays
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US20090093128A1 (en) * 2007-10-08 2009-04-09 Martin Jay Seamons Methods for high temperature deposition of an amorphous carbon layer
US7791053B2 (en) 2007-10-10 2010-09-07 Virgin Islands Microsystems, Inc. Depressed anode with plasmon-enabled devices such as ultra-small resonant structures
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US7795073B2 (en) 2008-02-01 2010-09-14 Hynix Semiconductor Inc. Method for manufacturing stack package using through-electrodes
KR101013556B1 (ko) * 2008-02-01 2011-02-14 주식회사 하이닉스반도체 스택 패키지의 제조방법
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US20090269923A1 (en) * 2008-04-25 2009-10-29 Lee Sang M Adhesion and electromigration improvement between dielectric and conductive layers
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9293319B2 (en) 2011-03-09 2016-03-22 Micron Technology, Inc. Removal of metal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6041709B2 (ja) * 2013-03-05 2016-12-14 東京エレクトロン株式会社 金属層をエッチングする方法
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9598907B2 (en) 2014-02-28 2017-03-21 Diamond Innovations Inc. Modification of diamond feeds for improving polycrystalline diamond cutter
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
KR101870491B1 (ko) * 2014-03-11 2018-06-22 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 기판 처리 시스템, 박막 트랜지스터의 제조 방법 및 기억 매체
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
KR20170057329A (ko) 2014-09-12 2017-05-24 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 장비 유출물의 처리를 위한 제어기
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9570320B2 (en) * 2014-10-09 2017-02-14 Lam Research Corporation Method to etch copper barrier film
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
GB201420245D0 (en) * 2014-11-14 2014-12-31 Bae Systems Plc Sensor manufacture
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10403599B2 (en) * 2017-04-27 2019-09-03 Invensas Corporation Embedded organic interposers for high bandwidth
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102403619B1 (ko) * 2017-09-18 2022-05-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN107422403B (zh) * 2017-09-21 2019-12-03 京东方科技集团股份有限公司 用于控制光出射方向的光学部件及其制造方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10804106B2 (en) 2018-02-21 2020-10-13 International Business Machines Corporation High temperature ultra-fast annealed soft mask for semiconductor devices
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4256534A (en) * 1978-07-31 1981-03-17 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
US4447824A (en) * 1980-08-18 1984-05-08 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
JPS5916978A (ja) * 1982-07-20 1984-01-28 Tokyo Denshi Kagaku Kabushiki 金属被膜の選択的エツチング方法
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4444618A (en) * 1983-03-03 1984-04-24 General Electric Company Processes and gas mixtures for the reactive ion etching of aluminum and aluminum alloys
EP0133621B1 (de) * 1983-08-02 1988-03-30 Ibm Deutschland Gmbh Verfahren zum Trockenätzen von Kupfer und seine Verwendung
US4519872A (en) * 1984-06-11 1985-05-28 International Business Machines Corporation Use of depolymerizable polymers in the fabrication of lift-off structure for multilevel metal processes
ATE68912T1 (de) * 1985-09-27 1991-11-15 Unisys Corp Verfahren zur herstellung einer konischen kontaktoeffnung in polyimid.
US5067002A (en) * 1987-01-30 1991-11-19 Motorola, Inc. Integrated circuit structures having polycrystalline electrode contacts
US4753709A (en) * 1987-02-05 1988-06-28 Texas Instuments Incorporated Method for etching contact vias in a semiconductor device
US4966865A (en) * 1987-02-05 1990-10-30 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
JPH07113774B2 (ja) * 1987-05-29 1995-12-06 株式会社日立製作所 パタ−ンの形成方法
US5110712A (en) * 1987-06-12 1992-05-05 Hewlett-Packard Company Incorporation of dielectric layers in a semiconductor
US5298112A (en) * 1987-08-28 1994-03-29 Kabushiki Kaisha Toshiba Method for removing composite attached to material by dry etching
JPH01234578A (ja) * 1988-03-16 1989-09-19 Hitachi Ltd 銅薄膜のドライエツチング方法
JPH0787053B2 (ja) * 1989-02-06 1995-09-20 日本電信電話株式会社 銅薄膜パターニング方法
JP2732663B2 (ja) * 1989-05-10 1998-03-30 日本電信電話株式会社 銅薄膜パターニング方法
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5141817A (en) * 1989-06-13 1992-08-25 International Business Machines Corporation Dielectric structures having embedded gap filling RIE etch stop polymeric materials of high thermal stability
JPH0336723A (ja) * 1989-07-04 1991-02-18 Fujitsu Ltd 半導体装置の製造方法及び電子サイクロトロン共鳴エッチング装置
US5053105A (en) * 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
JP3077178B2 (ja) * 1990-08-11 2000-08-14 ソニー株式会社 銅薄膜の選択的ドライエッチング方法
JPH04173988A (ja) * 1990-11-02 1992-06-22 Nissin Electric Co Ltd ドライエッチング方法
JPH04187787A (ja) * 1990-11-20 1992-07-06 Nisshin Hightech Kk ドライエッチング方法
JPH04199821A (ja) * 1990-11-29 1992-07-21 Nisshin Hightech Kk ドライエッチング装置
JPH04199824A (ja) * 1990-11-29 1992-07-21 Nisshin Hightech Kk ドライエッチング方法
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5183972A (en) * 1991-02-04 1993-02-02 Microelectronics And Computer Technology Corporation Copper/epoxy structures
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
JPH04329640A (ja) * 1991-05-01 1992-11-18 Mitsubishi Electric Corp 配線層のドライエッチング方法
JPH04350939A (ja) * 1991-05-29 1992-12-04 Sony Corp 銅配線の形成方法
JP3371143B2 (ja) * 1991-06-03 2003-01-27 ソニー株式会社 ドライエッチング方法
US5476753A (en) * 1991-07-22 1995-12-19 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
EP0531232A3 (en) * 1991-08-26 1993-04-21 Eastman Kodak Company High durability mask for use in selective area, epitaxial regrowth of gaas
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
DE4223887A1 (de) * 1992-07-21 1994-01-27 Basf Ag Verfahren zur Herstellung eines Polymer/Metall- oder Polymer/Halbleiter-Verbundes
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
US5346586A (en) * 1992-12-23 1994-09-13 Micron Semiconductor, Inc. Method for selectively etching polysilicon to gate oxide using an insitu ozone photoresist strip
US5387556A (en) * 1993-02-24 1995-02-07 Applied Materials, Inc. Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2
JPH06326059A (ja) * 1993-05-17 1994-11-25 Fujitsu Ltd 銅薄膜のエッチング方法
JPH0758383A (ja) * 1993-08-16 1995-03-03 Japan Atom Energy Res Inst 低温作動型金属蒸気レーザー
JPH07161687A (ja) * 1993-12-03 1995-06-23 Nissin Electric Co Ltd ドライエッチング方法及び装置
JPH07201856A (ja) * 1993-12-28 1995-08-04 Fujitsu Ltd 銅配線の形成方法
US5635423A (en) * 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5550405A (en) * 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
US5559056A (en) * 1995-01-13 1996-09-24 National Semiconductor Corporation Method and apparatus for capping metallization layer
US5880018A (en) * 1996-10-07 1999-03-09 Motorola Inc. Method for manufacturing a low dielectric constant inter-level integrated circuit structure
JPH1191940A (ja) * 1997-09-24 1999-04-06 Nissan Motor Co Ltd 回転テーブル駆動装置および駆動方法

Also Published As

Publication number Publication date
WO1999031718A1 (en) 1999-06-24
US6080529A (en) 2000-06-27
JP2002509353A (ja) 2002-03-26
KR20010085939A (ko) 2001-09-07
US6143476A (en) 2000-11-07
EP1038310A1 (en) 2000-09-27

Similar Documents

Publication Publication Date Title
KR20010033061A (ko) 유기 마스크 적층을 이용한 패턴화된 층의 고온 에칭 방법
US6331380B1 (en) Method of pattern etching a low K dielectric layer
US8114782B2 (en) Method for etching organic hardmasks
US5419805A (en) Selective etching of refractory metal nitrides
US5925577A (en) Method for forming via contact hole in a semiconductor device
US5472564A (en) Method of dry etching with hydrogen bromide or bromide
US6670278B2 (en) Method of plasma etching of silicon carbide
US7084070B1 (en) Treatment for corrosion in substrate processing
CN101536155B (zh) 一种具有原位背侧聚合物去除的等离子体蚀刻工艺
US7166535B2 (en) Plasma etching of silicon carbide
US5851302A (en) Method for dry etching sidewall polymer
JP2002513207A (ja) 低k誘電体層をエッチングする方法
KR20010014306A (ko) 향상된 물리적 타격을 이용한, 마이크론 및 서브마이크론특징부용 패턴된 구리 식각
JPH08172077A (ja) ビアのプラズマエッチング改良方法
JPH0855839A (ja) 窒化チタンのエッチング
KR20010032912A (ko) 실리콘 산화 질화물과 무기 반사 방지 코팅막 에칭 방법
JP2002507059A (ja) 銅のエッチバックプロセス
TWI284370B (en) Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
WO2000024048A1 (en) Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6758223B1 (en) Plasma RIE polymer removal
US6271115B1 (en) Post metal etch photoresist strip method
US6554004B1 (en) Method for removing etch residue resulting from a process for forming a via
KR100195983B1 (ko) 자동차 도어 글라스런의 마모방지장치
US6399509B1 (en) Defects reduction for a metal etcher
JP2001237228A (ja) 基板処理方法および基板処理装置ならびにデバイス製造方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid