JPH0855839A - 窒化チタンのエッチング - Google Patents

窒化チタンのエッチング

Info

Publication number
JPH0855839A
JPH0855839A JP7011544A JP1154495A JPH0855839A JP H0855839 A JPH0855839 A JP H0855839A JP 7011544 A JP7011544 A JP 7011544A JP 1154495 A JP1154495 A JP 1154495A JP H0855839 A JPH0855839 A JP H0855839A
Authority
JP
Japan
Prior art keywords
etching
titanium nitride
gas
etching process
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP7011544A
Other languages
English (en)
Inventor
Peter R Keswick
アール. ケスウィック ピーター
Jeffrey Marks
マークス ジェフリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0855839A publication Critical patent/JPH0855839A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 (修正有) 【目的】 基板上の窒化チタン層をエッチング出来る反
応性イオンエッチングプロセスを提供する。 【構成】 窒化チタン層24cと絶縁性酸化物層26と
を有する基板20が、プロセスチャンバ内に置かれる。
このプロセスの一段階又は多段階の態様が行われて、絶
縁性酸化物層と窒化チタン層とをエッチングする。一段
階の態様では、炭素−弗化物ガスたとえばCF3 等と炭
素−酸化物ガスたとえばCO,CO2 とを含むエッチャ
ントガスがプロセスチャンバ内に導入されて、絶縁性酸
化物層26と窒化チタン層24cとは1つの段階でエッ
チングされる。多段階の態様では、炭素−弗化物ガスを
含むエッチャントガスから発生されるプラズマにより絶
縁性酸化物層26をエッチングする第1の段階と、炭素
−酸化物ガスを含むエッチャントガスから発生されるプ
ラズマにより窒化チタン層24cをエッチングする第2
の段階とを含む。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体基板上の窒化チ
タンのエッチングの方法に関する。
【0002】
【従来の技術】導電性の造作(ぞうさく、features)
は、半導体基板上に形成されるデバイスを電気的に接続
させることに用いられる。この導電性の造作は、代表的
には以下を備える;(i)底部バリア層、(ii)造作
の中ほどのアルミニウム合金等の導電性の金属含有層、
そして(iii)窒化チタン等のトップの反射防止層(a
ntireflective layer)とである。絶縁性の酸化物層と窒
化チタン層とをエッチングで貫通させて穴を開けて、ア
パーチャーが形成される。この穴には導電性の金属が充
填されて、垂直方向に導電性の相互接続(interconnects
)が形成され、これは一般にバイアス(vias)として知ら
れている。
【0003】絶縁性酸化物層と窒化チタン層とを貫くア
パーチャーは、多段階のプロセスでエッチングされる。
先ず、絶縁性酸化物層が、弗素含有エッチャントガスを
用いた反応性イオンエッチングによりエッチングされ
る。絶縁性酸化物層のエッチングの後、湿式化学エッチ
ングプロセスを用いて造作の窒化チタン層をエッチング
する。導電性の金属含有層を電気的に接触させる導電性
相互接続のためには、窒化チタン層のエッチングが不可
欠である。
【0004】
【発明が解決しようとする課題】この多段階のエッチン
グプロセスには、いくつかの問題点がある。まず、酸化
層のエッチングに用いられる反応性イオンエッチングプ
ロセスのエッチング選択比(etch selectivity ratio)は
しばしば不適当なことがある。エッチング選択比は、エ
ッチングされる層のエッチング速度とレジストエッチン
グ速度との比で定義される。絶縁性酸化物層と窒化チタ
ン層とが両方ともエッチングされる場合、レジスト層が
その下の酸化物層と窒化チタン層とを効果的に保護する
ためには、絶縁性酸化物層と窒化チタン層との合せたエ
ッチング速度がレジストエッチング速度よりも大きくな
ければならない。また、レジスト層を過剰にエッチング
する事は望ましいことではなく、その理由は、この事に
より重合物のレジストエッチャント副生成物が、基板上
やエッチング装置の壁面上に過剰に堆積することになる
からである。このような過多な堆積物を除去することは
困難である。従って、反応性イオンエッチングプロセス
のエッチング選択比は、好ましくは少なくとも約3、更
に好ましくは少なくとも約4である。
【0005】現在の技術での別の問題は、窒化チタン層
のエッチングに用いられる湿式化学エッチングプロセス
に関するものである。湿式化学エッチングプロセスを行
うためには、基板を反応性イオンエッチング装置から取
り出して、湿式化学エッチングの装置(station )に移送
しなければならない。この移送の操作が、プロセスのス
ループットの効率を制限している。更に、移送中に基板
が雰囲気に暴露される際に、基板上のエッチング済みの
層は腐食されて、基板上に汚染物を形成することがあ
る。また、湿式化学エッチャントプロセスは、しばし
ば、基板上に汚染物の化学的残留物を残す。これらの汚
染物は、ウエハが完全に処理されてその価値が50,0
00ドル〜100,000ドルの価値を有する最終処理
段階に至って初めて発見され、しばしば、ウエハ全体を
廃棄しなければならない。
【0006】従って、基板上の窒化チタン層をエッチン
グすることが可能な反応性イオンエッチングプロセスが
必要である。このようなプロセスは、既存の湿式化学エ
ッチングプロセスと比較して、プロセスの効率を非常に
高め、集積回路チップの収率を高めることを可能にす
る。また、エッチングプロセスが高いエッチング選択比
を持つことと、従来からのエッチング装置内で集積回路
のマスプロダクションを容易にすることとが望ましい。
基板上の絶縁性酸化層と窒化チタン層の両方を除去でき
るエッチングプロセスであれば、更に望ましい。
【0007】
【課題を解決するための手段】本発明は、これらの要求
を満たして湿式エッチングプロセスを用いる必要性を取
り除く、窒化チタンをエッチングするための反応性イオ
ンエッチングプロセスを提供する。このプロセスでは、
窒化チタン層を自身の上に有しその窒化チタン層の上に
絶縁酸化層を有する基板がプロセスチャンバ内に置かれ
る。そして、一段階のプロセス又は多段階のプロセスの
どちらか片方が実施されて、絶縁酸化層と窒化チタン層
とをエッチングする。どちらのプロセスの形態でも、基
板はプロセスチャンバ内に残るため、部分的エッチング
済みの基板が装置間を移送されることによる制限を克服
する。
【0008】一段階のプロセスの形態では、炭素−弗化
物ガスと炭素−酸化物ガスとを備えたエッチャントガス
をプロセス区域に導入し、エッチャントガスのプラズマ
を発生させることにより、絶縁酸化層及び窒化チタン層
は一段階でエッチングされる。このプラズマは、基板上
の絶縁酸化層と窒化チタン層とを両方ともエッチングす
る。
【0009】多段階のプロセスの形態は、炭素−弗化物
ガスから発生されるプラズマを用いて絶縁酸化層をエッ
チングする第1の段階と、炭素−弗化物ガスと炭素−酸
化物ガスとを備えるエッチャントガスから発生されるプ
ラズマを用いて窒化チタン層をエッチングする第2の段
階とを備える。
【0010】適切な炭素−弗化物ガスは、CF3 、CF
4 、CH3 F、CHF3 、C2 22 、C2 6 、C
3 8 、C4 8 あるいはC4 10を含み、適切な炭素
−酸化物ガスは、COあるいはCO2 を含む。一段階の
プロセスのためのガスの好ましい組合わせは、C2 6
とCOとを備え、多段階のプロセスの第2段階のための
ガスの好ましい組合わせは、CH3 FとCO2 とを備え
る。
【0011】
【実施例】図1に示されるように、本発明に従ったプロ
セスは、基板20上で行われるが、この基板20はウエ
ハ22を備え、ウエハ22の上にはパターン化された導
電性の造作(ぞうさく)24の水平層と、造作24を覆
う絶縁性酸化物層26とを有する。ウエハ22はどの材
質でもよく、例えば、半導体、ガラス、セラミクス、金
属又はポリマー等である。代表的には、ウエハ22は、
シリコン又はガリウムヒ素ウエハ等の半導体ウエハであ
る。ウエハ22上の造作24は、代表的には複数の層を
備え、例えば、(i)チタン、タングステン、チタン−
タングステン又は窒化チタンを備えるベース拡散バリア
層24aと;(ii)アルミニウム合金シリコン及び銅
等の中間導電性層24bと;(iii)窒化チタン等の
上部反射防止層24cとを備える。窒化チタン層24c
は、典型的には、厚さが約100オングストローム〜約
1000オングトロームであり、更に典型的には、厚さ
が約250オングストロームである。層24a、24b
及び24cは、典型的には、物理気相堆積法(PVD)
により堆積される。酸化珪素層等の連続的な絶縁性酸化
物層26は、造作24上及び造作24の間に堆積され
る。絶縁性酸化物層26は、典型的には厚さが約0.5
μm〜約3μmであり、更に典型的には、厚さが約1.
5μmである。酸化物層26は、典型的には化学気相堆
積法(CVD)により堆積される。
【0012】エッチングに対しての抵抗になるフォトレ
ジスト等のレジスト層28は、絶縁性酸化物層26の上
に塗布され、エッチングプロセス中の絶縁性酸化物層2
6の保護部分にパターン化される。典型的には、パター
ン化されたレジスト層28は、直径約0.3μm〜約1
μmの穴30を有する。以下に述べるエッチングプロセ
スでは、エッチングされた穴内に導電性相互接続を形成
するために、穴30の底部でバイアス(vias)がエッチン
グされる。
【0013】本発明のエッチングプロセスの実施に適し
たエッチング装置40の概略は図4に示されるが、これ
は、ライスらの1993年10月15日米国特許庁に出
願の米国特許出願通し番号08/138060号(この
出願を基礎として日本国特許庁に特願平6−24624
0号を出願)及びコリンズらによる欧州特許第0520
519号に更に詳細に記載されている。
【0014】一般的には、エッチング装置40は、プロ
セス領域44を有するプロセスチャンバ42を備える。
ウエハの周辺部のガスマニホールド46を用いてプロセ
ス領域44内にプロセスガスが導入される。典型的に
は、コンピューター制御のフローコントローラー(図示
されず)を介して、1つ以上の加圧ガスソースを用いて
プロセスガスがマニホールド46に供給される。
【0015】プロセスチャンバ42の側壁52を取り囲
んで、円筒アンテナコイル50が巻かれている。コイル
50は、RF電流ソース54に接続される。RF電流が
コイル50に通じれば、コイル50はチャンバ42にエ
ネルギーを誘導的に結合し、プロセス領域44内にプロ
セスガスからのプラズマを発生させる。側壁52とコイ
ル50との間に誘導結合が生じないように、チャンバ4
2の側壁52はクオーツやセラミクス等の誘電材料製で
ある。側壁52附近に従来型の加熱要素が具備され、側
壁52を充分高い温度まで加熱して、側壁52上に凝縮
エッチャント副生成物の堆積を防止する。
【0016】プロセス領域の頂部には、結晶性シリコン
材料のスラブ56が具備される。シリコンスラブ54が
従来型の加熱要素(図示されず)が加熱されれば、スラ
ブの表面の反応性の珪素原子がプロセス領域44内のガ
ス状種と反応する。例えば、チャンバ42内に炭素−弗
化物ガスが導入され、プラズマが発生すれば、珪素原子
がプラズマの弗素種を捕捉又は結合して、SiF4 等の
ガスを生成する。この捕捉のプロセスは、プロセス領域
44内の弗素の量を低減するので、プラズマ内の炭素対
弗素の比を増加させる。基板20の新たにエッチングさ
れた部分の上に堆積されてパッシベートするための、炭
素リッチのポリマーを生成するためには、高い炭素比が
望ましい。この捕捉プロセスの詳細な説明は、前述のラ
イスらの米国特許に開示されている。
【0017】基板20は、チャンバ42内のカソード6
0上に置かれ、チャンバ42の頂部に電気的接地された
アノード62が形成される。エッチングプロセスの間、
基板はグルーブ66を用いた機械的チャック64を使い
適所に保持され、ヘリウムガス等のクーラントガスはこ
のグルーブ66内で保持されて基板20の温度を制御す
る。別の方法として、コリンズらの米国特許出願通し番
号08/137279号(1993年10月14日出
願)に記載されているような静電チャックを用いること
も可能である。RFソース68を用いてアノード62に
対してカソード60が電気的にバイアスされれば、チャ
ンバ42内のプラズマが基板20に衝突し、その結果の
プラズマ活性化反応が基板20をエッチングする。随
意、電場(図示されず)を用いてプラズマの密度又は均
一度を向上させてもよい。
【0018】使われたプロセスガスとエッチャント副生
成物は、排気口70を介してプロセスチャンバ42から
排気され、排気口70は、プロセスチャンバ42に約1
-3ミリトールの圧力にすることができる排気システム
72に接続される。排気口70には、チャンバ42の圧
力を制御するためのスロットルバルブ74が具備され
る。
【0019】プロセスを行うに当たり、チャンバ42は
1ミリトール(mTorr )未満に脱気され、基板20は真空
に維持されているロードロック移送チャンバ(図示され
ず)からチャンバ42に移送される。チャンバ内にエッ
チャントプロセスガスが導入され、チャンバ42は、1
0〜50ミリトールの範囲の圧力、更に好適には約20
ミリトールの圧力に維持される。エッチャントガスは、
ガスマニホールド46を介してチャンバ42内に導入さ
れる。このエッチャントガスは、炭素−弗化物と炭素−
酸化物ガスを備える。ここで炭素−弗化物ガスとは、炭
素と、弗素と、随意ハロゲンとを含有するガス状種をい
い、例えば、CF3 、CF4 、CH3 F、CHF3 、C
2 2 2 、C2 6 、C3 8 、C4 8 あるいはC
4 10等である。好ましくは、炭素−弗化物ガスは、
C、F及びH以外の要素を含まない。炭素−酸化物ガス
とは、炭素と、酸素とを含有するガス状種をいい、例え
ば、CO及びCO2 等である。好ましくは、炭素−酸化
物ガスは、C及びOのみを含有する。
【0020】本発明のプロセスは、一段階又は多段階で
実施することができる。このプロセスの一段階の態様で
は、絶縁性酸化物層26と窒化チタン層24cとの両方
が、一段階でエッチングされる。両方の層とも一段階で
エッチングされるため、レジスト層がエッチングされる
前に、絶縁性酸化物層の全てと窒化チタン層の全てとが
エッチングされるように、炭素−弗化物と炭素−酸化物
ガスの組成が選択される。従って、絶縁性酸化物層及び
窒化チタン層のエッチング速度の和と、レジストのエッ
チング速度との比で定義されるエッチング選択比が、少
なくとも約3、更に好ましくは少なくとも約4、の値を
与えるように、ガスの組成が選択される。一段階しか含
まないため、プロセスの効率の点からは一段階のプロセ
スが好ましい。
【0021】このプロセスの多段階の態様は、絶縁性酸
化物層26がエッチングされる第1の段階と、窒化チタ
ン層24cがエッチングされる第2の段階とを含む。更
に、従来からのアッシング方法を用いて基板上の残存レ
ジストをアッシングする中間の段階が、1つ以上用いら
れていてもよい。プロセスの第1の段階では、絶縁性酸
化物層は、炭素−弗化物ガスを用いる従来の酸化物エッ
チングプロセスを用いてエッチングされる。第2の段階
では、窒化チタン層は、炭素−弗化物と炭素−酸化物ガ
スを用いてエッチングされる。好ましくは、少なくとも
約1μm/分の高い窒化チタンエッチング速度を与える
ように、炭素−弗化物及び炭素−酸化物ガスが選択され
るので、酸化物層がエッチングされる以前に、窒化チタ
ン層全体をエッチングすることが可能となる。
【0022】このプロセスの一段階の態様及び多段階の
態様は、以下に詳細が説明される。
【0023】(一段階のプロセス)このプロセスの一段
階の態様を実施するに適したガス組成物はC2 6 及び
COを備え、これらの体積流量比は、約1:5〜約1:
40、更に好ましくは約1:1〜1:3、最も好ましく
は約1:2である。ガスのこの組成物が与えるエッチン
グ選択比は、少なくとも約3、更に典型的には少なくと
も約4である。22リットルのプロセスチャンバC2
6 の適当な流量は、典型的には約20〜約40scc
m、更に典型的には約25sccm;適切なCOの流量
は典型的には約1〜約100sccm、更に典型的には
約50sccmである。
【0024】エッチングプロセス中においては、チャン
バ42は典型的には、約1〜約10ミリトール、更に典
型的には約4ミリトールの圧力に維持される。約2MH
zの周波数のRF電流を誘導コイル50に流すことによ
り、エッチャントガスからプラズマが発生する。典型的
には、コイルに流されるRF電流の出力レベルは、約1
000〜約3000ワット、更に典型的には約2500
ワットである。また、誘導コイル50によって発生され
るプラズマが生じて基板20に衝突して基板20をエッ
チングするために充分な出力レベルで、RFバイアス電
流がカソード60に通される。適当なRF電流の出力レ
ベルは、約1000〜約2000ワット、更に好ましく
は約1500ワットである。
【0025】(多段階のプロセス)このプロセスの多段
階の態様は、基板20上の絶縁性酸化物層26がエッチ
ングされる第1の段階と、窒化チタン層24cがエッチ
ングされる第2の段階とを備える。更に、このプロセス
は、第1の段階と第2の段階との間に、従来のアッシン
グ方法を用いて基板20上の残留レジストがアッシング
される中間の段階を備えていてもよい。
【0026】(第1の段階)第1の段階では、絶縁性酸
化物層26は、従来のエッチングプロセスを用いてエッ
チングされる。適当なエッチングプロセスは、C2 6
等の炭素−弗化物ガスを、約20〜40sccm、更に
典型的には約25sccmの流速で、チャンバ42内に
導入する工程を備える。チャンバ42は、約4ミリトー
ルの圧力に維持される。約2500ワットの出力レベル
のRF電流が誘導コイル50に流れて、C2 6 のプラ
ズマが発生する。約1500ワットのの出力レベルのR
Fバイアス電流がカソード60に流れ、プラズマが発生
して基板20上の絶縁性酸化物層26をエッチングす
る。
【0027】(中間の段階)典型的には、中間のアッシ
ングの段階を用いて、絶縁性酸化物のエッチングの後
に、基板20上の残留レジストをアッシングする。この
段階では、従来からのアッシングの方法を用いることが
可能である。適当なアッシングの技術は、約80scc
mの流量で酸素をチャンバ内42に流す工程と、チャン
バを約10ミリトールの圧力に維持する工程とを備え
る。誘導コイル50に約2500ワットの出力レベルを
有するRF電流を通じて、酸素のプラズマが発生する。
約2500ワットからの出力レベルを有するRFバイア
ス電流がカソード60に通じてプラズマが発生し、基板
20上のレジスト層をアッシングする。
【0028】(第2の段階)この段階では窒化チタン層
24cがエッチングされる。窒化チタン層24cをエッ
チングするに適当なエッチャントガスはCHF3 とCO
2 とを備え、その流速比は、約1:6〜約2:1、更に
好ましくは約1:1〜約1:3、最も好ましくは約1:
2である。このガス組成は、充分に高い窒化チタンのエ
ッチング速度を与えるので、酸化物層26全てをエッチ
ングすることなく、窒化チタン層24cの全てを実質的
にエッチングすることができる。22リットルのプロセ
スチャンバでは、CH3 Fの適切な流量は、約20〜約
80sccm、更に典型的には、約40sccm;適切
なCO2 の流量は、約40〜120sccm、更に好適
には約80sccmである。
【0029】チャンバ42は、典型的には約10〜約5
0ミリトール、更に典型的には約20ミリトールの圧力
に維持される。約1200〜約2500ワットの出力レ
ベル、更に典型的には約1800ワットの出力レベルを
有するRF電流を誘導コイル50に通じることにより、
エッチャントガスからプラズマが発生する。カソード6
0に通じるRFバイアス電流は、約50〜約500ワッ
ト、更に典型的には約200ワットの出力レベルを有す
る。
【0030】このエッチングプロセスの一段階の態様及
び多段階の態様の双方では、装置50のクオーツ側壁5
2が約200〜約240℃、更に好適には約215〜約
220℃に加熱されて、凝縮性のエッチャント副生成物
が側壁に堆積することを防止する。装置50のシリコン
スラブ56は、典型的には、約200℃〜約250℃、
更に典型的には約215℃に維持されて、珪素種を捕捉
するに充分な弗素の量を提供し、プロセス領域44の炭
素対弗素の比を望ましいように維持する。また、このプ
ロセスの双方の態様では、エッチャントガスから生成す
るプラズマが基板20を約5℃〜約100℃の温度に加
熱する。チャック64のグルーブ66内部にヘリウムを
通じることにより、基板20の温度は実質的に一定のレ
ベルに維持される。ヘリウムは、典型的には約12〜約
16ミリトールの圧力、更に典型的には約14ミリトー
ルの圧力で流される。
【0031】(実施例)以下の実施例が、本発明に従っ
たプロセスの有効性を例証する。これらの実施例では、
アプライドマテリアルズ社が販売する「5300 HD
P OXIDEETCHER」が用いられた。
【0032】これら実施例では、8インチ(200m
m)直径のシリコンウエハで実施された。ウエハは、バ
リア層24aと、アルミニウム合金を含む導電性層24
bと、厚さ約250オングストロームの反射防止層24
cを有していた。厚さ1.5μmの酸化珪素の絶縁性酸
化物層26が,窒化チタン層を覆って堆積された。
【0033】(実施例1、2)表1には、実施例1及び
2のプロセス条件を示す。この両方の実施例は、C2
6 とCOとを含むエッチャントガスが用いられた一段階
のプロセスを例示する。
【0034】エッチング速度は、エッチングされたウエ
ハの断面を従来技術の走査型電子顕微鏡で評価すること
により測定された。このエッチング速度は、窒化チタン
層と絶縁性酸化物層との両方のエッチング速度の和を反
映している。実施例2は、最も高いエッチング速度を与
え、接点上に残留窒化チタンは残っていなかった。
【0035】
【表1】
【0036】(実施例3)実施例3は、多段階プロセス
の好ましい態様を与え、これは、基板上の絶縁性酸化物
層をエッチングする第1段階と、中間のレジストアッシ
ングの段階と、窒化チタン層をエッチングする第2の段
階とを備える。
【0037】表2は、実施例3の各段階に用いられたプ
ロセス条件を示す。この実施例では、エッチャントガス
はCH3 FとCO2 とを備える。
【0038】
【表2】
【0039】本発明は、好適な態様に関して詳細に説明
してきたが、多の態様も可能である。例えば、炭素と弗
素と酸素とを含むガス、例えば、COF2 も使用が可能
である。
【0040】
【発明の効果】以上詳細に説明してきたように、本発明
のプロセスによれば、基板上の絶縁性酸化層と窒化チタ
ン層の両方を除去できるエッチングプロセスが提供され
る。
【0041】従って、基板を反応性イオンエッチング装
置から取り出す必要がなくなるため、スループットが著
しく向上する。
【図面の簡単な説明】
【図1】窒化チタン層と絶縁性酸化物層とレジスト層と
を有する基板の断面図である。
【図2】図1に示される基板の絶縁性酸化物層のエッチ
ング後の断面図である。
【図3】図2に示される基板の窒化チタン層のエッチン
グ後の断面図である。
【図4】本発明の実施に適したエッチング装置の断面図
である。
【符号の説明】
20…基板、22…ウエハ、24a…バリア層、24b
…導電性層、24c…反射防止層、26…絶縁性酸化物
層、28…レジスト層、30…穴、40…エッチング装
置、42…プロセスチャンバ、44…プロセス領域、4
6…マニホールド、50…コイル、52…側壁、54…
RF電流ソース、56…シリコンスラブ、60…カソー
ド、62…アノード、64…チャック、66…グルー
ブ、68…RF電流ソース、70…排気口、72…排気
システム、74…スロットルバルブ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェフリー マークス アメリカ合衆国, カリフォルニア州 95129, サン ノゼ, シエロ ヴィス タ ウェイ 4730

Claims (32)

    【特許請求の範囲】
  1. 【請求項1】 基板上の窒化チタンをエッチングするプ
    ロセスであって、 (a)窒化チタン層を自身の上に有する基板をプロセス
    領域内に置くステ ップと、(b)炭素−弗化物ガスと炭素−酸化物ガスと
    を含むエッチャントガスを前記プロセス領域に導入する
    ステップと、 (c)前記エッチャントガスからプラズマを発生させて
    前記基板上の該窒化チタン層をエッチングするステップ
    とを備えるエッチングプロセス。
  2. 【請求項2】 前記炭素−弗化物ガスが、CF3 と、C
    4 と、CH3 Fと、CHF3 と、C2 6 と、C3
    8 と、C4 8 と、C4 10とから成る群より選択され
    る1つのガスを含む請求項1に記載のエッチングプロセ
    ス。
  3. 【請求項3】 前記炭素−酸化物ガスが、COとCO2
    とから成る群より選択される1つのガスを含む請求項1
    に記載のエッチングプロセス。
  4. 【請求項4】 前記基板が絶縁性酸化物層を前記窒化チ
    タン層の上に更に備え、前記絶縁性酸化物層と前記窒化
    チタン層との双方がプラズマによってエッチングされる
    請求項1に記載のエッチングプロセス。
  5. 【請求項5】 前記基板が前記絶縁性酸化物層の部分を
    覆うレジスト層を更に備え、且つ、酸化物及び窒化チタ
    ンのエッチング速度の和とレジストエッチング速度との
    比が少なくとも約3になるように、炭素−弗化物ガスと
    炭素−酸化物ガスとの体積流量比が選択される請求項4
    に記載のエッチングプロセス。
  6. 【請求項6】 酸化物及び窒化チタンのエッチング速度
    の和とレジストエッチング速度との前記比が少なくとも
    約4になるように、炭素−弗化物ガスと炭素−酸化物ガ
    スとの前記体積流量比が選択される請求項5に記載のエ
    ッチングプロセス。
  7. 【請求項7】 炭素−弗化物ガスがC2 6 を含み、且
    つ、炭素−酸化物ガスがCOを含む請求項1に記載のエ
    ッチングプロセス。
  8. 【請求項8】 C2 6 とCOとの体積流量比が、約
    1:5〜約1:40である請求項7に記載のエッチング
    プロセス。
  9. 【請求項9】 C2 6 とCOとの体積流量比が、約
    1:1〜約1:3である請求項7に記載のエッチングプ
    ロセス。
  10. 【請求項10】 前記プロセス領域が約1ミリトール(m
    Torr )〜約10ミリトールの圧力に維持される請求項7
    に記載のエッチングプロセス。
  11. 【請求項11】 前記プロセス領域を誘導コイルが囲
    い、且つ、該エッチャントガスからプラズマを発生させ
    る前記ステップが、約1000〜約3000ワット(Wat
    ts )の出力レベルを有するRF電流を前記誘導コイルに
    通じる工程を備える請求項7に記載のエッチングプロセ
    ス。
  12. 【請求項12】 前記基板が前記プロセス領域内のカソ
    ード上に置かれ、且つ、約1000〜約2000ワット
    の出力レベルを有するRF電流が前記カソードに通じる
    請求項11に記載のエッチングプロセス。
  13. 【請求項13】 前記炭素−弗化物ガスがCH3 Fを含
    み、且つ、前記炭素−酸化物ガスがCO2 を含む請求項
    1に記載のエッチングプロセス。
  14. 【請求項14】 CH3 FとCO2 との体積流量比が約
    1:6〜約2:1である請求項13に記載のエッチング
    プロセス。
  15. 【請求項15】 CH3 FとCO2 との前記体積流量比
    が約1:1〜約1:3である請求項14に記載のエッチ
    ングプロセス。
  16. 【請求項16】 前記プロセス領域が、約10ミリトー
    ル〜約50ミリトールの圧力に維持される請求項13に
    記載のエッチングプロセス。
  17. 【請求項17】 前記プロセス領域を誘導コイルが囲
    い、且つ、該エッチャントからプラズマを発生させる前
    記ステップが、約1200〜約2500ワット(Watts )
    の出力レベルを有するRF電流を前記誘導コイルに通じ
    る工程を備える請求項13に記載のエッチングプロセ
    ス。
  18. 【請求項18】 前記基板が前記プロセス領域内のカソ
    ード上に置かれ、且つ、約50〜約500ワットの出力
    レベルを有するRF電流が前記カソードに通じる請求項
    17に記載のエッチングプロセス。
  19. 【請求項19】 エッチャントガスから発生される該プ
    ラズマが複数の異なるガス種を含み、且つ、内部壁を有
    するプロセスチャンバ内の前記プロセス領域が、該プラ
    ズマ内の前記ガス種の一部を捕捉する物質を含む請求項
    1に記載のエッチングプロセス。
  20. 【請求項20】 前記内部壁が、約200℃〜約240
    ℃の範囲の温度に加熱される請求項19に記載のエッチ
    ングプロセス。
  21. 【請求項21】 基板上の酸化物層と窒化チタン層とを
    エッチングするプロセスであって、 (a)窒化チタン層を自身の上に有し且つ絶縁性酸化物
    層を前記窒化チタン層の上に有する基板を、プロセス領
    域に置くステップと、 (b)前記プロセス領域内に、C2 6 とCOとを含む
    エッチャントガスを導入するステップと、 (c)前記エッチャントガスから発生されるプラズマを
    発生させて、前記基板上の前記絶縁性酸化物層と前記窒
    化チタン層との双方をエッチングするステップとを備え
    るエッチングプロセス。
  22. 【請求項22】 レジスト層が前記酸化物層の部分を覆
    い、且つ、酸化物及び窒化チタンのエッチング速度の和
    とレジストエッチング速度との比が少なくとも約3にな
    るように、C2 6 とCOとの体積流量比が選択される
    請求項21に記載のエッチングプロセス。
  23. 【請求項23】 酸化物及び窒化チタンのエッチング速
    度の和とレジストエッチング速度との前記比が少なくと
    も約4になるように、C2 6 とCOとの前記体積流量
    比が選択される請求項22に記載のエッチングプロセ
    ス。
  24. 【請求項24】 C2 6 とCOとの前記体積流量比が
    約1:5〜約1:40である請求項21に記載のエッチ
    ングプロセス。
  25. 【請求項25】 前記プロセス領域が、約1ミリトール
    〜約10ミリトールの圧力に維持される請求項21に記
    載のエッチングプロセス。
  26. 【請求項26】 前記プロセス領域を誘導コイルが囲
    い、前記基板が前記プロセス領域内のカソード上に置か
    れ、且つ、該エッチャントガスからプラズマを発生させ
    る前記ステップが、約1000〜約3000ワットの出
    力レベルを有するRF電流を前記誘導コイルに通じる工
    程と、約1000〜約2000ワットの出力レベルを有
    するRF電流を前記カソードに通じる工程とを備える請
    求項21に記載のエッチングプロセス。
  27. 【請求項27】 基板上の酸化物層と窒化チタン層とを
    エッチングするプロセスであって、 (a)窒化チタン層を自身の上に有し且つ絶縁性酸化物
    層を前記窒化チタン層の上に有する基板を、プロセス領
    域に置くステップと、 (b)前記プロセス領域内に炭素−弗化物ガスを導入し
    前記炭素−弗化物ガスからプラズマを発生して、前記基
    板上の前記絶縁性酸化物層をエッチングし、該エッチン
    グ中に生成されたガス状エッチャント副生成物を排気す
    るステップと、 (c)前記プロセス領域にCH3 FとCO2 とを含むエ
    ッチャントガスを導入し、前記エッチャントからプラズ
    マを発生させて前記基板上の前記窒化チタン層をエッチ
    ングするステップとを備えるエッチングプロセス。
  28. 【請求項28】 前記窒化チタン層が少なくとも約1μ
    m/分の速度でエッチングされるように、CH3 FとC
    2 との体積流量比が選択される請求項27に記載のエ
    ッチングプロセス。
  29. 【請求項29】 CH3 FとCO2 との体積流量比が約
    1:6〜約2:1である請求項27に記載のエッチング
    プロセス。
  30. 【請求項30】 前記プロセス領域を誘導コイルが囲
    い、前記基板が前記プロセス領域内のカソード上に置か
    れ、且つ、該エッチャントガスからプラズマを発生させ
    る前記ステップが、約50〜約500ワットの出力レベ
    ルを有するRF電流を前記誘導コイルに通じる工程と、
    約100〜約300ワットの出力レベルを有するRF電
    流を前記カソードに通じる工程とを備える請求項27に
    記載のエッチングプロセス。
  31. 【請求項31】 前記プロセス領域が、約10ミリトー
    ル〜約50ミリトールの圧力に維持される請求項27に
    記載のエッチングプロセス。
  32. 【請求項32】 前記基板が残留のレジスト層を有し、
    且つ、前記(b)のステップの後に、酸素含有ガスから
    発生されたプラズマを用いて前記基板上の前記残留のレ
    ジスト層がアッシングされる請求項27に記載のエッチ
    ングプロセス。
JP7011544A 1994-01-27 1995-01-27 窒化チタンのエッチング Withdrawn JPH0855839A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/188493 1994-01-27
US08/188,493 US5399237A (en) 1994-01-27 1994-01-27 Etching titanium nitride using carbon-fluoride and carbon-oxide gas

Publications (1)

Publication Number Publication Date
JPH0855839A true JPH0855839A (ja) 1996-02-27

Family

ID=22693385

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7011544A Withdrawn JPH0855839A (ja) 1994-01-27 1995-01-27 窒化チタンのエッチング

Country Status (4)

Country Link
US (1) US5399237A (ja)
EP (1) EP0665583A3 (ja)
JP (1) JPH0855839A (ja)
KR (1) KR950033670A (ja)

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US20010054601A1 (en) * 1996-05-13 2001-12-27 Jian Ding Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
TW348296B (en) * 1995-12-16 1998-12-21 Hyundai Electronics Ind Method for forming contact hole in semiconductor device
US5824604A (en) * 1996-01-23 1998-10-20 Mattson Technology, Inc. Hydrocarbon-enhanced dry stripping of photoresist
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5952244A (en) * 1996-02-15 1999-09-14 Lam Research Corporation Methods for reducing etch rate loading while etching through a titanium nitride anti-reflective layer and an aluminum-based metallization layer
US6004884A (en) * 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5681486A (en) * 1996-02-23 1997-10-28 The Boeing Company Plasma descaling of titanium and titanium alloys
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
US6190096B1 (en) 1996-08-07 2001-02-20 Kennametal Inc. Indexable cutting insert with indexing marks
US6107190A (en) * 1997-01-30 2000-08-22 Nec Corporation Method of fabricating semiconductor device
JP3916284B2 (ja) * 1997-02-28 2007-05-16 東京応化工業株式会社 多層配線構造の形成方法
US5780338A (en) * 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US6008129A (en) * 1997-08-28 1999-12-28 Motorola, Inc. Process for forming a semiconductor device
US6297167B1 (en) * 1997-09-05 2001-10-02 Advanced Micro Devices, Inc. In-situ etch of multiple layers during formation of local interconnects
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
US6536449B1 (en) 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6296780B1 (en) 1997-12-08 2001-10-02 Applied Materials Inc. System and method for etching organic anti-reflective coating from a substrate
US6656375B1 (en) 1998-01-28 2003-12-02 International Business Machines Corporation Selective nitride: oxide anisotropic etch process
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US5906948A (en) * 1998-04-17 1999-05-25 Vanguard International Semiconductor Corporation Method for etching high aspect-ratio multilevel contacts
US6074952A (en) * 1998-05-07 2000-06-13 Vanguard International Semiconductor Corporation Method for forming multi-level contacts
CN100377316C (zh) * 1998-05-12 2008-03-26 世界先进积体电路股份有限公司 形成多个不同深度接触窗的方法
US6211092B1 (en) 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6355557B2 (en) * 1998-07-22 2002-03-12 Applied Materials, Inc. Oxide plasma etching process with a controlled wineglass shape
JP2000077410A (ja) 1998-08-27 2000-03-14 Tokyo Ohka Kogyo Co Ltd 多層配線構造の形成方法
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
TW518688B (en) * 2001-04-26 2003-01-21 Silicon Integrated Sys Corp Etching process of dielectric layer
US20030121888A1 (en) * 2001-11-30 2003-07-03 Kenji Adachi Etching method
US7078337B2 (en) * 2003-09-30 2006-07-18 Agere Systems Inc. Selective isotropic etch for titanium-based materials
US20070238304A1 (en) * 2006-04-11 2007-10-11 Jui-Hung Wu Method of etching passivation layer
US8507385B2 (en) * 2008-05-05 2013-08-13 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method for processing a thin film micro device on a substrate
US8338205B2 (en) * 2009-08-31 2012-12-25 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method of fabricating and encapsulating MEMS devices
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
RU2533740C1 (ru) * 2013-07-04 2014-11-20 Федеральное государственное автономное образовательное учреждение высшего профессионального образования "Национальный исследовательский университет "МИЭТ" (МИЭТ) Способ реактивного ионного травления слоя нитрида титана селективно к двуокиси кремния, поликремнию и вольфраму
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN115461846B (zh) 2020-03-31 2023-07-25 玛特森技术公司 使用氟碳等离子体的工件的加工

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4793896C1 (en) * 1988-02-22 2001-10-23 Texas Instruments Inc Method for forming local interconnects using chlorine bearing agents
KR910010516A (ko) * 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
US5302240A (en) * 1991-01-22 1994-04-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
JP3253215B2 (ja) * 1993-03-31 2002-02-04 東京エレクトロン株式会社 エッチング方法及びエッチング装置

Also Published As

Publication number Publication date
US5399237A (en) 1995-03-21
KR950033670A (ko) 1995-12-26
EP0665583A3 (en) 1997-03-05
EP0665583A2 (en) 1995-08-02

Similar Documents

Publication Publication Date Title
JPH0855839A (ja) 窒化チタンのエッチング
US6458516B1 (en) Method of etching dielectric layers using a removable hardmask
US6352081B1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
US6670278B2 (en) Method of plasma etching of silicon carbide
US7166535B2 (en) Plasma etching of silicon carbide
KR101083211B1 (ko) 높은 선택도로 유전체 배리어층을 에칭하는 방법
US6287978B1 (en) Method of etching a substrate
US7846347B2 (en) Method for removing a halogen-containing residue
US6569257B1 (en) Method for cleaning a process chamber
US6296780B1 (en) System and method for etching organic anti-reflective coating from a substrate
US7125806B2 (en) Etching method
US20100330805A1 (en) Methods for forming high aspect ratio features on a substrate
KR20010085939A (ko) 에칭 처리 단계에서 마스킹으로서 사용될 수 있는패턴화된 층을 에칭하는 방법 또는 다마신 구조
KR20010079765A (ko) 구리 이중 상감구조에 사용되는 인-시튜 집적 산화물 에칭방법
JP2001168075A (ja) 基板誘電層プレクリーニング方法
JP2002516487A (ja) 半導体デバイスの製造方法
WO2002073674A1 (fr) Procede permettant de graver un film isolant organique et procede double damascene
JP2008198659A (ja) プラズマエッチング方法
KR100838502B1 (ko) 반도체 장치의 제조 방법
JP2011517368A (ja) 基板からポリマーを除去するための方法及び装置
US6277759B1 (en) Plasma etching methods
JPH10178014A (ja) 半導体装置の製造方法
US6969685B1 (en) Etching a dielectric layer in an integrated circuit structure having a metal hard mask layer
US6784107B1 (en) Method for planarizing a copper interconnect structure

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20020402