KR101083211B1 - 높은 선택도로 유전체 배리어층을 에칭하는 방법 - Google Patents

높은 선택도로 유전체 배리어층을 에칭하는 방법 Download PDF

Info

Publication number
KR101083211B1
KR101083211B1 KR1020087023283A KR20087023283A KR101083211B1 KR 101083211 B1 KR101083211 B1 KR 101083211B1 KR 1020087023283 A KR1020087023283 A KR 1020087023283A KR 20087023283 A KR20087023283 A KR 20087023283A KR 101083211 B1 KR101083211 B1 KR 101083211B1
Authority
KR
South Korea
Prior art keywords
barrier layer
dielectric barrier
dielectric
gas
etching
Prior art date
Application number
KR1020087023283A
Other languages
English (en)
Other versions
KR20080106290A (ko
Inventor
잉 자오
게랄도 에이. 델가디노
카르스텐 슈나이더
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080106290A publication Critical patent/KR20080106290A/ko
Application granted granted Critical
Publication of KR101083211B1 publication Critical patent/KR101083211B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

유전체 벌크 절연층에 대해 높은 선택도로 유전체 배리어층을 에칭하는 방법들이 제공된다. 일 실시예에서, 반응기의 유전체 벌크 절연층을 통해 노출되는 유전체 배리어층의 일부를 갖는 기판을 제공하는 단계, H2 가스, 불소 함유 가스, 적어도 하나의 불활성 가스를 포함하는 가스 혼합물을 반응기 속에 흘려보내는 단계, 및 유전체 벌크 절연층에 대해 선택적으로 유전체 배리어층의 노출된 부분을 에칭하는 단계를 포함한다.

Description

높은 선택도로 유전체 배리어층을 에칭하는 방법{METHODS FOR ETCHING A DIELECTRIC BARRIER LAYER WITH HIGH SELECTIVITY}
본 발명은 전반적으로 반도체 프로세싱 기술들에 관한 것으로, 특히 반도체 프로세싱에서 유전체 벌크 절연층에 대한 높은 선택도로 유전체 배리어층을 에칭하는 방법에 관한 것이다.
집적회로들은 단일 칩 상에 수백만개의 부품들(예를 들어, 트랜지스터들, 캐패시터들 및 레지스터들)을 포함할 수 있는 복잡한 소자들로 발전했다. 칩 설계의 발전은 지속적으로 보다 빠른 회로소자 및 보다 큰 회로 밀도를 요구한다. 보다 큰 회로 밀도에 대한 요구사항은 집적회로 부품들 치수의 감소를 필요로 한다.
집적회로 부품들의 치수들이 (예를 들면, 서브-미크론 치수로) 감소됨에 따라, 이러한 부품들을 제조하는데 이용되는 물질들은 이들의 전기적 성능에 기여하게 된다. 이를 테면, 낮은 저항을 갖는 금속 상호접속부들(예를 들면, 구리 및 알루미늄)은 집적된 호로 상의 부품들 사이에 도전 경로들을 제공한다.
통상적으로, 금속 상호접속부들은 전기적 벌크 절연 물질에 의해 서로 전기적으로 절연된다. 인접한 금속 상호접속부들 간의 간격 및/또는 유전체 벌크 절연 물질의 두께가 서브-미크론 치수인 경우, 이러한 상호접속부들 사이에서는 용량성 결합이 잠재적으로 이루어진다. 인접한 금속 상호접속부들 간의 용량성 결합은 집적회로의 전체 성능을 감소시키는 혼선 및/또는 저항-캐패시턴스(RC) 지연을 야기시킬 수 있다.
인접한 상호접속부들 간의 용량성 결합을 최소화시키기 위해, 낮은 유전 상수 벌크 절연 물질들(예를 들면, 4.0 미만의 유전 상수)이 요구된다. 낮은 유전 상수 벌크 절연 물질들의 예로는 특히 실리콘 이산화물(SiO2), 실리케이트 글라스, 플루오로실리케이트 글라스(FSG), 및 탄소 도핑 실리콘 이산화물(SiOC)이 포함된다.
또한, 유전체 배리어층은 금속 상호접속부들을 유전체 벌크 절연 물질들과 분리시킨다. 유전체 배리어층은 유전체 벌크 절연 물질 속으로 금속의 확산을 최소화시킨다. 유전체 벌크 절연 물질 속으로의 금속 확산은 바람직하지 못하며, 이는 이러한 확산은 집적회로의 전기적 성능에 영향을 미치거나 또는 집적회로가 동작하지 못하게 하기 때문이다. 유전체층은 전도성 라인들 사이의 유전체 스택의 낮은-k 특성을 유지하기 위해 낮은 유전 상수를 갖는 것이 필요하다. 이러한 유전체 배리어층은 유전체 벌크 절연층 에칭 프로세스에 대한 에칭-스톱층으로 작용하여, 하부에 놓인 금속은 에칭 환경에 노출되지 않는다. 유전체 배리어층은 약 5.5 이하의 유전 상수를 갖는다. 유전체 배리어층의 예들로는 특히 실리콘 카바이드(SiC) 및 질소 함유 실리콘 카바이드(SiCN)가 포함된다.
일부 집적회로 부품들은 멀티레벨 상호접속 구조(예를 들어, 듀얼 다마신 구조)를 포함한다. 멀티레벨 상호접속 구조는 2개 이상의 벌크 절연층, 낮은 유전체 배리어층들, 및 하나 위에 다른 하나가 적층된 금속층들을 포함할 수 있다. 도 1A에 도시된 예시적인 듀얼 다마신 구조처럼, 하부에 놓인 유전체 배리어층(106)과 유전체 벌크 절연층(108)은 또 다른 유전체 벌크 절연층(102)에 내장된 전도성층(104)과 앞서 형성된 또 다른 상호접속부상에 적층된다. 비아/트렌치 에칭 프로세스들이 완료되고 비아/트렌치(110)가 유전체 벌크 절연층(108) 상에 형성됨에 따라, 비아/트렌치(110)에 의해 한정된 노출된 유전체 배리어층(106)은 순차적으로 제거되어 하부에 놓인 전도성층(104)을 노출시켜 이후 증착되는 전도성층(116)이 도 1B에 도시된 것처럼, 그를 통해 접속되고 결합될 수 있다. 그러나, 유전체 배리어층(106) 및 벌크 절연층(108)의 선택된 물질들의 유사성은 이들 간에 유사한 에칭 특성을 산출하여, 에칭 동안 열악한 선택도가 야기된다. 도 1C에 도시된 것처럼, 유전체 배리어층(106)이 에칭됨에 따라, 유전체 벌크 절연층(108)은 반응성 에천트 종들에 의해 동시적으로 공격될 수 있어, 층(114)의 측벽 및/또는 상부에서 불균일한 또는 테이퍼진 프로파일이 산출된다. 도 1D에 도시된 것처럼, 하부에 놓인 전도성층(104)이 트렌치 개구(110)와 정렬되지 않는 실시예들에서, 하부에 놓인 유전체 벌크 절연층(102)은 유전체 벌크 절연층(102)에 대한 열악한 선택도로 인해 유전체 배리어층(106)의 에칭 동안 공격받을 수 있다.
따라서, 유전체 벌크 절연층에 대해 높은 선택도로 유전체 배리어층을 에칭하는 방법이 요구된다.
본 발명에서는 유전체 벌크 절연층에 대해 높은 선택도로 유전체 배리어층을 에칭하는 방법들이 제공된다. 일 실시예에서, 유전체 배리어층을 에칭하는 방법은 반응기에 유전체 벌크 절연층을 통해 노출된 유전체 배리어층의 일부를 갖는 기판을 제공하는 단계, 반응기에 H2를 포함하는 가스 혼합물을 흘려보내는 단계, 및 유전체 벌크 절연층에 대해 선택적으로 유전체 배리어층의 노출된 부분을 에칭하는 단계를 포함한다.
또 다른 실시예에서, 유전체 배리어층을 에칭하는 방법은 반응기에 유전체 벌크 절연층을 통해 노출된 유전체 배리어층의 일부를 갖는 기판을 제공하는 단계, H2 가스 및 불소 함유 가스를 포함하는 가스 혼합물을 반응기로 흘려보내는 단계, 및 가스 혼합물로부터 형성된 플라즈마의 존재하에 유전체 배리어층의 노출된 부분을 에칭하는 단계를 포함한다.
또 다른 실시예에서, 유전체 배리어층을 에칭하는 방법은 반응기에 유전체 벌크 절연층을 통해 노출된 유전체 배리어층의 일부를 갖는 기판을 제공하는 단계 - 유전체 배리어층은 탄소 함유 실리콘막임 - , H2 가스, 불소 함유 가스 및 적어도 하나의 불활성 가스를 포함하는 가스 혼합물을 반응기로 흘려보내는 단계 및 유전체 벌크 절연층에 대해 선택적으로 유전체층의 노출된 부분을 에칭하는 단계를 포함한다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다.
도 1A-1D는 예시적인 상호접속부 구조물들의 단면도들;
도 2는 본 발명의 일 실시예에 따라 이용되는 플라즈마 반응기의 개략적 단면도;
도 3은 본 발명의 일 실시예에 따른 상호접속 구조물 상에서 유전체 배리어층 제거 프로세스의 일 실시예의 흐름도; 및
도 4A-4B는 기판 상에 배치되는 노출된 유전체 배리어층을 가지는 상호접속 구조물의 일 실시예의 단면도들.
발명의 이해를 돕기 위해 도면에서 공통되는 동일한 부재들을 나타내는데 가능한 동일한 참조번호를 사용했다. 일 실시예의 부재들 및 피쳐들은 추가 설명 없이 다른 실시예에 바람직하게 통합될 수 있다.
그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있다는 것을 주지해야 한다.
본 발명의 실시예들은 유전체 벌크 절연층에 대해 높은 선택도로 유전체 배리어층을 에칭하는 방법들을 포함한다. 상기 방법들은 인접한 유전체 벌크 절연층 및/또는 하부에 놓인 전도성층 및 유전체 벌크 절연층을 실질적으로 공격하지 않으면서 유전체 배리어층을 선택적으로 에칭하여 기판 상에 형성된 피쳐들의 프로파일 및 치수를 보호한다. 높은 에칭 선택도는 유전체 배리어층을 에칭하기 위해 수소 가스(H2)를 함유하는 가스 혼합물을 사용함으로써 달성된다.
도 2는 본 발명에 따라 유전체 배리어층 에칭을 수행하기에 적합한 플라즈마 소스 에칭 반응기(202)의 일 실시예에 대한 개략적 단면도이다. 본 발명을 수행하기에 적합한 이러한 에칭 반응기중 하나로는 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 입수가능한
Figure 112008067017531-pct00001
가 있다. 본 발명에 개시된 유전체 배리어층 에칭 프로세스는 다른 제조자들로부터의 반응기를 포함하여, 다른 에칭 반응기들에서 수행될 수도 있다.
일 실시예에서, 반응기(202)는 전도성 챔버 벽(230)을 가지는 프로세스 챔버(210)를 포함한다. 챔버 벽(230)의 온도는 벽(230) 내부 및/또는 부근에 배치되는 액체-함유 도관들(미도시)을 이용하여 제어된다.
챔버(210)는 쓰로틀(throttle) 밸브(227)를 통해 진공 펌프(236)에 결합되는 고진공 용기이다. 챔버 벽(230)은 전기적 접지(234)에 접속된다. 라이너(231)가 챔버(210)에 배치되어 벽(230)의 내부 표면들을 커버한다. 라이너(231)는 챔버(210)의 인시튜 자체-세정 능력을 촉진시켜, 라이너(231) 상에 증착된 부산물들 및 잔류물들은 라이너(231)로부터 쉽게 제거될 수 있다.
또한 프로세스 챔버(210)는 지지 페데스탈(216) 및 샤워헤드(232)를 포함한다. 지지 페데스탈(216)은 이격된 관계로 샤워헤드(232) 아래에 배치된다. 지지 페데스탈(216)은 프로세싱 동안 기판(200)을 보유하기 위한 정전기 척(226)을 포함 할 수 있다. 정전기 척(226)에 대한 전력은 DC 전력원(220)에 의해 제어된다.
지지 페데스탈(216)은 매칭 네트워크(224)를 통해 무선 주파수(RF) 바이어스 전력원(222)에 결합된다. 바이어스 전력원(222)은 일반적으로 약 50kHz 내지 약 60MHz의 조정가능한(tunable) 주파수 및 약 0 내지 5,000Watt의 바이어스 전력을 갖는 RF 신호를 생성할 수 있다. 선택적으로, 바이어스 전력원(222)은 DC 또는 펄스형 DC 소스일 수 있다.
지지 페데스탈(216) 상에서 지지되는 기판(200)의 온도는 지지 페데스탈(216)의 온도를 조절함으로써 적어도 부분적으로 제어된다. 일 실시예에서, 지지 페데스탈(216)은 냉각제를 흘려보내기 위해 내부에 채널들이 형성된 냉각 플레이트(미도시)를 포함한다. 또한, 가스 소스(248)로부터 제공되는 헬륨(He) 가스와 같은 후방(backside) 가스는 정전기 척(226)의 표면에 형성된 그루브들(미도시)과 기판(200)의 후방 사이에 배치되는 채널들에 제공된다. 후방 H2 가스는 페데스탈(216)과 기판(200) 사이에 유동성 열전달을 제공한다. 또한 정전기 척(226)은 프로세싱 동안 척(226)을 가열하기 위해 척 바디 내부에 저항성 히터(미도시)를 포함할 수 있다. 일 실시예에서, 기판(200)은 섭씨 약 10 내지 약 500도 사이의 온도에서 유지된다.
샤워헤드(232)는 프로세싱 챔버(210)의 리드(213)에 장착된다. 가스 패널(238)은 샤워헤드(232)와 리드(213) 사이에 한정된 플래넘(미도시)과 유동적으로 결합된다. 샤워헤드(232)는 가스 패널(238)로부터 플레넘에 제공되는 가스들이 프 로세스 챔버(210)로 진입할 수 있게 하는 다수의 홀들을 포함한다. 샤워헤드(232)의 홀들은 다양한 가스가 상이한 용량의 유량으로 챔버(210)로 방출될 수 있도록 상이한 구역에 배열될 수 있다.
샤워헤드(232) 및/또는 샤워헤드 부근에 배치된 상부 전극(228)은 임피던스 변형기(219)(예를 들어, 4분의 1파장 매칭 스터브)를 통해 RF 소스 전력(218)과 결합된다. RF 소스 전력(218)은 일반적으로 약 160MHz의 조정가능한 주파수 및 약 0 내지 5,000Watt의 소스 전력을 가지는 RF 신호를 생성할 수 있다.
또한 반응기(202)는 챔버 리드(213) 부근에서 챔버 벽(230) 외부에 위치된 하나 이상의 코일 세그먼트 또는 자석들(212)을 포함할 수 있다. 코일 세그먼트(들)에 대한 전력은 DC 전력원 또는 저주파수 AC 전력원(254)에 의해 제어된다.
기판 프로세싱 동안, 챔버(210) 내부의 가스 압력은 가스 패널(238) 및 쓰로틀 밸브(227)를 사용하여 제어된다. 일 실시예에서, 챔버(210) 내부의 가스 압력은 약 0.1 내지 999mTorr로 유지된다.
중앙 프로세싱 유닛(CPU)(244), 메모리(242) 및 지지 회로들(246)을 포함하는 제어기(240)는 본 발명의 프로세스들의 제어를 조장하기 위해 다양한 부품들과 결합된다. 메모리(242)는 RAM, ROM, 플로피 디스크, 하드 디스크 또는 반응기(202) 또는 CPU(244)에 대한 디지털 저장기, 국부적 또는 원격적 저장기의 임의의 다른 형태와 같은 임의의 컴퓨터-판독가능 매체일 수 있다. 지지 회로들(246)은 종래의 방식으로 CPU(244)를 지지하도록 CPU(244)와 결합된다. 이러한 회로들은 캐시, 전력원들, 클록 회로들, 입/출력 회로소자 및 서브시스템들, 및 이와 유사한 것들을 포함한다. 메모리(242)에 저장된 일련의 프로그램 명령들 또는 소프트웨어 루틴은 CPU(244)에 의해 실행될 경우, 반응기(202)가 본 발명의 에칭 프로세스를 수행하게 한다.
도 2는 본 발명을 실행하는데 이용될 수 있는 다양한 형태의 플라즈마 반응기들의 예시적인 한 구성을 나타낸다. 예를 들어, 상이한 형태의 소스 전력 및 바이어스 전력이 상이한 결합 메커니즘들을 이용하여 플라즈마 챔버에 결합될 수 있다. 소스 전력 및 바이어스 전력 모두의 사용은 플라즈마를 기준으로 기판의 바이어스 전압 및 플라즈마 밀도의 독립적인 제어를 허용한다. 일부 분야들에서, 소스 전력은 필요 없을 수 있고 플라즈마는 바이어스 전력에 의해 단독으로 유지된다. 플라즈마 밀도는 저주파수의(예를 들어, 0.1-.05Hertz) AC 전류 소스 또는 DC 소스로 구동되는 전자석들을 이용하여 진공 챔버에 인가되는 자계에 의해 강화될 수 있다. 또 다른 분야들에서, 플라즈마는 기판이 배치되는 것과 상이한 챔버에서, 이를 테면 원격 플라즈마 소스에서 생성될 수 있으며, 플라즈마는 공지된 기술들을 이용하여 챔버로 순차적으로 유도된다.
도 3은 본 발명의 일 실시예에 따른 유전체 배리어층 제거 프로세스(300)의 일 실시예의 흐름도를 나타낸다. 도 4A-4B는 유전체 배리어층 제거 프로세스(300)의 시퀀스를 나타내는 개략적 단면도들이다. 프로세스(300)는 프로세스(300)가 반응기(202)에서 수행되도록 제어기(240)에 의해 실행되는 명령들로서 메모리(242)에 저장될 수 있다.
프로세스(300)는 상호접속 구조물에 유전체 배리어층을 가지는 기판(400)을 반응기(202)에 제공함으로써 단계 302에서 시작된다. 도 4A에 도시된 것처럼, 유전체 스택(412)은 구리 라인과 같이 그 내부에 배치되는 적어도 하나의 전도성층(404)을 가지는 층(402)상에 배치된다. 유전체 스택(412)은 유전체 배리어층(406) 위에 유전체 벌크 절연층(408)을 포함한다. 트렌치/비아(410)는 듀얼 다마신 에칭 프로세스와 같은, 종래의 에칭 프로세스에 의해 유전체 벌크 절연층(408)에 형성된다. 일 실시예에서, 유전체 벌크 절연층(408)은 4.0 미만의 유전상수를 가지는 유전체 물질(낮은-k 물질들)이다. 적절한 물질들의 예로는 어플라이드 머티리얼스사로부터 이용가능한
Figure 112008067017531-pct00002
유전체 물질과 같은 탄소-함유 실리콘 산화물들(SiOC) 및 폴리아미드와 같은 다른 낮은-k 폴리머들이 포함된다.
유전체 배리어층(406)은 약 5.5 이하의 유전상수를 갖는다. 일 실시예에서, 유전체 배리어층(406)은 탄소 함유 실리콘층(SiC), 질소 도핑 탄소 함유 실리콘층(SiCN) 또는 이와 유사한 것이다. 도 4A에 도시된 실시예에서, 유전체 배리어층은 SiCN막이다. 예시적인 유전체 배리어층 물질로는 어플라이드 머티리얼스사로부터 입수가능한
Figure 112008067017531-pct00003
유전체 물질이 있다.
도 4A에 도시된 실시예에서, 유전체 스택(410)은 개구를 통해 에칭되어 유전체 배리어층(406) 위의 유전체 벌크 절연층(408)내에 트렌치 또는 비아와 같은 피쳐(410)를 한정한다. 유전체 벌크 절연층(408)의 일부는 유전체 배리어층(406)의 표면(414)이 노출되도록 제거된다. 층(402)에 존재하는 전도성층(404)은 유전체 배리어층(406)에 형성된 피쳐(410) 아래에 있다. 일 실시예에서, 유전체 벌크 절 연층(408)은 불소 및 탄소로부터 형성된 플라즈마를 이용하여 에칭된다. 유전체 벌크 절연층(408)은 도 2에 도시된 반응기(202) 또는 다른 적절한 반응기와 같은 에칭 챔버에서 에칭될 수 있다.
일 실시예에서, 에칭 프로세스는 약 5 내지 약 250sccm에서 탄소 사불화물(CF4)과 같이, 탄소 및 불소 함유 가스를 공급하는 단계, 약 50 Watt 내지 약 2000 Watt의 전력을 인가하는 단계, 섭씨 약 0도 내지 약 50도로 온도를 유지하는 단계, 및 반응기에 약 5mTorr 내지 약 200mTorr 사이로 프로세스 압력을 제어하는 단계에 의해 실행될 수 있다. 또 다른 실시예에서, 아르곤(Ar)과 같은 적어도 하나의 캐리어 가스가 탄소 및 불소 함유 가스와 함께 반응기에 공급될 수 있다. 캐리어 가스는 약 50 내지 약 500sccm로 공급될 수 있다.
단계 304에서, H2 가스를 함유하는 가스 혼합물이 반응기(202)에 공급되어 유전체 벌크 절연층(408)에 형성된 피쳐(410)에 의해 한정되는 노출된 유전체 배리어층(406)을 에칭한다. 가스 혼합물에 수반되는 H2 가스는 유전체 배리어층(406)의 질소 및 탄소 성분들과 반응하는 자유 수소 라디칼들을 생성함으로써 유전체 배리어층의 에칭을 조장하여, 유전체 벌크 절연층(408)을 실질적으로 에칭하지 않으면서 유전체 배리어층(406)을 선택적으로 분해시킨다. 일 실시예에서, 가스 혼합물은, 제한되는 것은 아니지만, H2 가스 및 불소 함유 가스를 포함할 수 있다. 불소 함유 가스의 적절한 예들로는,
Figure 112008067017531-pct00004
,
Figure 112008067017531-pct00005
및 이와 유사 한 것을 포함할 수 있으나, 이로 제한되는 것은 아니다. 또 다른 실시예에서, 가스 혼합물은 H2 가스, 불소 함유 가스 및 적어도 하나의 불활성 가스를 포함할 수 있다. 불활성 가스는 아르곤 가스(Ar), 헬륨 가스(He), 일산화 질소(NO), 일산화 탄소(CO), 아산화질소(N2O), 산소 가스(O2), 질소 가스(N2) 및 이와 유사한 것으로 이루어진 그룹에서 선택될 수 있다. 에칭 프로세스 동안 하부에 놓인 전도성층(404)의 산화를 방지하는 실시예들에서, 가스 혼합물은 산소를 함유하는 임의의 가스들을 포함하지 않는다.
가스 혼합물이 에칭 반응기에 공급되는 동안 몇 개의 프로세스 파라미터들이 단계 304에서 조절된다. 일 실시예에서, 에칭 반응기에서 가스 혼합물의 압력은 약 10mTorr 내지 약 200mTorr, 예를 들어 약 20mTorr 내지 약 60mTorr로 조절되며 기판 온도는 섭씨 약 0도 내지 약 50도, 예를 들어 섭씨 약 0도 내지 약 25도로 유지된다.
단계 306에서, 노출된 유전체 배리어층(406)을 에칭하고 도 4B에 도시된 것처럼, 기판 상의 유전체 벌크 절연층(408)의 트렌치(410)에 의해 한정된 전도성층(402) 위에서 부터 유전체 배리어층(406)을 제거하기 위해 플라즈마가 형성된다. 일 실시예에서, RF 소스 전력은 약 10 Watt 내지 약 800Watt의 전력에서 인가되어 가스 혼합물로부터 플라즈마를 제공한다. H2 가스는 약 5sccm 내지 약 100sccm의 유량, 예를 들면 약 20sccm 내지 약 60sccm의 유량으로 제공된다. 불소 함유 가스, 이를 테면 CH2F2는 약 0 sccm 내지 약 80sccm의 속도의 유량, 예를 들면 약 10sccm 내지 약 30sccm의 유량으로 제공될 수 있다. Ar 또는 O2 가스와 같은 불활성 가스는 약 50sccm 내지 약 500sccm, 예를 들어 약 100sccm 내지 약 200sccm의 유량으로 제공될 수 있다. 에칭 시간은 약 10초 내지 약 80초 사이로 처리될 수 있다.
H2 가스를 함유하는 가스 혼합물을 이용한 에칭 프로세스는 인접한 및/또는 하부에 놓인 유전체 벌크 절연층(408)을 공격하지 않는 방식으로 유전체 배리어층(406)이 선택적으로 에칭될 수 있게 한다. 유전체 배리어층(406)의 에칭 가스 혼합물은 유전체 배리어층(406)에 포함된 질소 및 탄소 결합들과 주로 반응하는 수소 자유 라디칼들을 생성함으로써 유전체 벌크 절연층(408)에 대해 높은 선택도를 산출하여, 트렌치(410)에 의해 한정된 노출된 유전체 배리어층(406)이 균일하게 에칭될 수 있게 한다. 일 실시예에서, 벌크 절연층(408)에 대한 유전체 배리어층(406)의 선택도는 적어도 5, 예를 들면 15이다.
유전체 배리어층(406)의 에칭 프로세스는 하부에 놓인 전도성층(404)이 노출되었다는 엔드포인트 신호에 도달한 후 종결된다. 엔드포인트는 임의의 적절한 방식에 의해 결정될 수 있다. 예를 들면, 엔드포인트는 광학적 방출 모니터링, 예정된 시간 주기의 종료 또는 에칭될 유전체 배리어층(406)이 충분히 제거되었는지를 결정하는 또 다른 표시기에 의해 결정될 수 있다.
따라서, 본 발명은 유전체 벌크 절연층에 대해 높은 선택도로 유전체 배리어층을 에칭하는 개선된 방법을 제공한다. 방법은 유전체 벌크 절연층에 있는 트렌 치들에 의해 한정된 유전체 배리어층을 선택적으로 에칭함으로써 상호접속 구조물의 피쳐들의 프로파일 및 치수를 바람직하게 조장한다.
지금까지 본 발명의 실시예들에 관해 개시되었지만, 하기 특허청구항들에 의해 제한되는 본 발명의 기본 사상 및 범주를 이탈하지 않는 본 발명의 다른 추가 실시예들이 고안될 수 있다.

Claims (23)

  1. 상호접속 구조물에 유전체 배리어층을 에칭하기 위한 방법으로서,
    유전체 벌크 절연층을 통해 노출되는 유전체 배리어층의 일부를 갖는 기판을 반응기에 제공하는 단계;
    상기 반응기로 적어도 H2 가스를 함유하는 가스 혼합물을 흘려보내는 단계; 및
    상기 유전체 벌크 절연층에 대해 선택적으로 상기 유전체 배리어층의 상기 노출된 부분을 에칭하는 단계
    를 포함하며, 상기 유전체 배리어층은 5.5 미만의 유전상수를 갖고, 상기 유전체 절연층은 4 미만의 유전상수를 갖는, 유전체 배리어층을 에칭하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 가스 혼합물을 흘려보내는 단계는 H2 가스를 수반하는 불소 함유 가스를 상기 반응기로 흘려보내는 단계를 더 포함하는, 유전체 배리어층을 에칭하기 위한 방법.
  3. 제 1 항에 있어서, 상기 에칭하는 단계는,
    10mTorr 내지 200mTorr 사이로 프로세스 압력을 유지하는 단계;
    섭씨 0도 내지 50도 사이로 기판 온도를 제어하는 단계; 및
    100Watt 내지 800Watt 사이의 플라즈마 전력을 인가하는 단계
    를 더 포함하는, 유전체 배리어층을 에칭하기 위한 방법.
  4. 제 2 항에 있어서,
    상기 불소 함유 가스를 흘려보내는 단계는 0sccm을 초과하고 80sccm 미만인 유량으로 상기 불소 함유 가스를 흘려보내는 단계를 더 포함하는, 유전체 배리어층을 에칭하기 위한 방법.
  5. 제 2 항에 있어서,
    상기 불소 함유 가스는
    Figure 112010052531865-pct00006
    Figure 112010052531865-pct00007
    로 이루어진 그룹에서 선택되는, 유전체 배리어층을 에칭하기 위한 방법.
  6. 삭제
  7. 제 1 항에 있어서,
    상기 유전체 배리어층은 탄소 함유 실리콘막인, 유전체 배리어층을 에칭하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 노출된 유전체 배리어층을 제거하는 단계; 및
    상기 기판상의 상기 유전체 배리어층 아래에 배치되는 하부에 놓인 전도성층을 노출시키는 단계
    를 더 포함하는, 유전체 배리어층을 에칭하기 위한 방법.
  9. 상호접속 구조물에 유전체 배리어층을 에칭하기 위한 방법으로서,
    유전체 벌크 절연층을 통해 노출되는 유전체 배리어층의 일부를 갖는 기판을 반응기에 제공하는 단계 ― 상기 유전체 배리어층은 5.5 미만의 유전상수를 가짐 ― ;
    상기 반응기에 H2 가스 및 불소 함유 가스를 포함하는 가스 혼합물을 흘려보내는 단계 ― 상기 불소 함유 가스는
    Figure 112010052531865-pct00025
    Figure 112010052531865-pct00026
    로 이루어진 그룹에서 선택됨 ― ; 및
    상기 가스 혼합물로부터 형성된 플라즈마의 존재하에 상기 유전체 배리어층의 노출된 부분을 에칭하는 단계
    를 포함하는, 유전체 배리어층을 에칭하기 위한 방법.
  10. 제 9 항에 있어서, 상기 가스 혼합물을 흘려보내는 단계는,
    5sccm을 초과하고 100sccm 미만인 유량으로 수소 가스(H2)를 흘려보내는 단계; 및
    0sccm을 초과하고 80sccm 미만인 유량으로 불소 함유 가스를 흘려보내는 단계
    를 더 포함하는, 유전체 배리어층을 에칭하기 위한 방법.
  11. 제 9 항에 있어서, 상기 가스 혼합물을 흘려보내는 단계는,
    10mTorr 내지 200mTorr 사이로 프로세스 압력을 유지하는 단계;
    섭씨 0도 내지 50도 사이로 기판 온도를 제어하는 단계; 및
    100Watt 내지 800Watt 사이에서 플라즈마를 인가하는 단계
    를 더 포함하는, 유전체 배리어층을 에칭하기 위한 방법.
  12. 제 9 항에 있어서,
    상기 유전체 배리어층은 탄소 함유 실리콘막인, 유전체 배리어층을 에칭하기 위한 방법.
  13. 상호접속 구조물에 유전체 배리어층을 에칭하기 위한 방법으로서,
    유전체 벌크 절연층을 통해 노출되는 유전체 배리어층의 일부를 갖는 기판을 반응기에 제공하는 단계 ― 상기 유전체 배리어층은 탄소 함유 실리콘막임 ― ;
    상기 반응기로 H2 가스, 불소 함유 가스 및 적어도 하나의 불활성 가스를 함유하는 가스 혼합물을 흘려보내는 단계; 및
    상기 유전체 벌크 절연층에 대해 선택적으로 상기 유전체 배리어층의 상기 노출된 부분을 에칭하는 단계
    를 포함하는, 유전체 배리어층을 에칭하기 위한 방법.
  14. 제 13 항에 있어서, 상기 가스 혼합물을 흘려보내는 단계는,
    5sccm을 초과하고 100sccm 미만인 유량으로 H2 가스를 흘려보내는 단계;
    0sccm을 초과하고 80sccm 미만인 유량으로 불소 함유 가스를 흘려보내는 단계 ― 상기 불소 함유 가스는
    Figure 112011013817590-pct00014
    Figure 112011013817590-pct00015
    로 이루어진 그룹에서 선택됨 ― ; 및
    50sccm을 초과하고 500sccm 미만인 유량으로 상기 불활성 가스를 흘려보내는 단계 ― 상기 불활성 가스는 Ar, O2, CO, NO, N2O, He 및 N2로 이루어진 그룹에서 선택됨 ―
    를 더 포함하는, 유전체 배리어층을 에칭하기 위한 방법.
  15. 제 13 항에 있어서, 상기 가스 혼합물을 흘려보내는 단계는,
    10mTorr 내지 200mTorr 사이로 프로세스 압력을 유지하는 단계;
    섭씨 0도 내지 50도 사이로 기판 온도를 제어하는 단계; 및
    100Watt 내지 800Watt 사이에서 플라즈마를 인가하는 단계
    를 더 포함하는, 유전체 배리어층을 에칭하기 위한 방법.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
KR1020087023283A 2006-03-22 2007-03-16 높은 선택도로 유전체 배리어층을 에칭하는 방법 KR101083211B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/388,246 US7977245B2 (en) 2006-03-22 2006-03-22 Methods for etching a dielectric barrier layer with high selectivity
US11/388,246 2006-03-22

Publications (2)

Publication Number Publication Date
KR20080106290A KR20080106290A (ko) 2008-12-04
KR101083211B1 true KR101083211B1 (ko) 2011-11-11

Family

ID=38523179

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087023283A KR101083211B1 (ko) 2006-03-22 2007-03-16 높은 선택도로 유전체 배리어층을 에칭하는 방법

Country Status (6)

Country Link
US (2) US7977245B2 (ko)
EP (1) EP2008298A2 (ko)
JP (1) JP2009530871A (ko)
KR (1) KR101083211B1 (ko)
CN (1) CN101405844A (ko)
WO (1) WO2007109522A2 (ko)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN102832118B (zh) * 2012-09-11 2015-02-18 上海华力微电子有限公司 双大马士革结构中底部抗反射涂层的刻蚀方法
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20150050816A1 (en) * 2013-08-19 2015-02-19 Korea Atomic Energy Research Institute Method of electrochemically preparing silicon film
KR102132361B1 (ko) * 2013-11-06 2020-07-10 매슨 테크놀로지 인크 수직 앤에이앤디 디바이스에 대한 새로운 마스크 제거 방법
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
WO2018111333A1 (en) 2016-12-14 2018-06-21 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11728212B2 (en) * 2020-09-29 2023-08-15 Taiwan Semicondcutor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010042419A1 (en) 1998-10-08 2001-11-22 Latz Frederick E. Vehicle tilt-steering mechanism

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5950126A (en) 1996-12-03 1999-09-07 Nokia Telecommunications Oy Network operator controlled usage of long distance carriers
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6137126A (en) * 1999-08-17 2000-10-24 Advanced Micro Devices, Inc. Method to reduce gate-to-local interconnect capacitance using a low dielectric constant material for LDD spacer
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
TW464977B (en) * 2000-11-03 2001-11-21 United Microelectronics Corp Method for peeling off silicon carbide layer
US6514850B2 (en) * 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US20020187627A1 (en) * 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
JP3914452B2 (ja) * 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6743725B1 (en) * 2001-08-13 2004-06-01 Lsi Logic Corporation High selectivity SiC etch in integrated circuit fabrication
CN100559554C (zh) * 2001-08-31 2009-11-11 东京毅力科创株式会社 被处理体的蚀刻方法
US6753260B1 (en) * 2001-10-05 2004-06-22 Taiwan Semiconductor Manufacturing Company Composite etching stop in semiconductor process integration
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6652712B2 (en) * 2001-12-19 2003-11-25 Applied Materials, Inc Inductive antenna for a plasma reactor producing reduced fluorine dissociation
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
US20030228768A1 (en) 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
JP3616615B2 (ja) * 2002-06-06 2005-02-02 沖電気工業株式会社 半導体装置の製造方法
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6705886B1 (en) * 2003-01-23 2004-03-16 Fci Americas Technology, Inc. Electrical connector having connector position assurance member
JP2004235361A (ja) * 2003-01-29 2004-08-19 Nec Electronics Corp 半導体装置の製造方法および半導体製造装置
US6921727B2 (en) * 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
JP2004296835A (ja) * 2003-03-27 2004-10-21 Applied Materials Inc ダマシン構造を形成する方法
US7309448B2 (en) * 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050059234A1 (en) * 2003-09-16 2005-03-17 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7015133B2 (en) * 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US7288482B2 (en) * 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010042419A1 (en) 1998-10-08 2001-11-22 Latz Frederick E. Vehicle tilt-steering mechanism

Also Published As

Publication number Publication date
JP2009530871A (ja) 2009-08-27
US7977245B2 (en) 2011-07-12
WO2007109522A3 (en) 2008-03-20
CN101405844A (zh) 2009-04-08
US20070224803A1 (en) 2007-09-27
KR20080106290A (ko) 2008-12-04
WO2007109522A2 (en) 2007-09-27
EP2008298A2 (en) 2008-12-31
US20070224807A1 (en) 2007-09-27

Similar Documents

Publication Publication Date Title
KR101083211B1 (ko) 높은 선택도로 유전체 배리어층을 에칭하는 방법
US7413990B2 (en) Method of fabricating a dual damascene interconnect structure
US6921727B2 (en) Method for modifying dielectric characteristics of dielectric layers
US6168726B1 (en) Etching an oxidized organo-silane film
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
KR102185347B1 (ko) 보이드-프리 금속화를 가능하게 하도록 인-시츄 금속 하드 마스크 형상 제어를 위한 펄싱 유전체 에칭 프로세스
US20060102197A1 (en) Post-etch treatment to remove residues
US20040106293A1 (en) Method for etching organic insulating film and dual damasene process
US20070224827A1 (en) Methods for etching a bottom anti-reflective coating layer in dual damascene application
US7129171B2 (en) Selective oxygen-free etching process for barrier materials
KR100917291B1 (ko) 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
KR102164398B1 (ko) 구리층을 에칭하는 방법
JP4827567B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP4388645B2 (ja) プラズマエッチング方法
US20090117745A1 (en) Methods for selectively etching a barrier layer in dual damascene applications
US20070218699A1 (en) Plasma etching method and computer-readable storage medium
TWI505360B (zh) 用於氟碳化物膜之金屬碳化物阻障層的形成方法
JP2003163205A (ja) 酸化膜エッチング方法
US20040192051A1 (en) Method of forming a damascene structure

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee