JP4451934B2 - 導電層をエッチングする方法及び集積回路 - Google Patents

導電層をエッチングする方法及び集積回路 Download PDF

Info

Publication number
JP4451934B2
JP4451934B2 JP54071698A JP54071698A JP4451934B2 JP 4451934 B2 JP4451934 B2 JP 4451934B2 JP 54071698 A JP54071698 A JP 54071698A JP 54071698 A JP54071698 A JP 54071698A JP 4451934 B2 JP4451934 B2 JP 4451934B2
Authority
JP
Japan
Prior art keywords
conductive
etch
layer
etching
conductive layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP54071698A
Other languages
English (en)
Other versions
JP2001517367A (ja
JP2001517367A5 (ja
Inventor
アーネット・デビッド・アール.
ムッサー・ジェフリー・ブイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2001517367A publication Critical patent/JP2001517367A/ja
Publication of JP2001517367A5 publication Critical patent/JP2001517367A5/ja
Application granted granted Critical
Publication of JP4451934B2 publication Critical patent/JP4451934B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)
  • Drying Of Semiconductors (AREA)

Description

発明の背景
本発明は半導体デバイスの製造に関する。より詳細には、本発明は半導体デバイスの製造中に積層体のうちの導電層を貫いてエッチングする方法及び装置に関する。
一般的に、半導体集積回路(IC)またはフラット・パネル・ディスプレイなどの半導体デバイスの製造では、部品トランジスタなどの複数のデバイスをシリコン・ウェハまたはガラス・パネルなどの基板上に形成する。次いで、所望の回路を形成すべくこれら複数のデバイスを互いに接続するために、基板上に位置するメタライゼーション層からエッチングによって形成された金属線などの導電性相互接続線を使用する。メタライゼーション層はアルミニウムまたはその合金の一種などを含むことが可能であり、この合金は銅またはシリコンを含み得る。
一部の回路では、相互接続要件を満たすために、複数の導電層を必要とし得る。一般的に、これらの導電層は酸化物中間層などの絶縁層によって互いに分離されている。2つの導電層にそれぞれ設けられた2つの導電機構、即ち、導電線の間の電気的接続を望む場合、前記の絶縁を提供する誘電体中間層を貫通する電気的パスを2つの導電機構の間に提供するために、導電プラグを使用し得る。
説明を容易にするために、図1は一般的な半導体デバイスの製造中に形成される複数の層に相当する積層体10の断面を示す。図1及び他の図面において、複数の層は例示を目的とするのみであり、図示する複数の層の上、下、または間に別の層が存在し得る点に注意する必要がある。更に、図示する全ての層が存在する必要はなく、当業者が一般的に有する知識を使用して、これらの層のうちの幾つかまたは全てを別の層と置換し得る。
積層体10の底部には、シリコン・ウェハまたはガラス・パネルなどに相当する基板100が表示されている。第1の導電層102は基板100上に設けられている。導電層102を所望の形状にパターン化(例えば、従来のフォトレジスト・プロセスを使用)した後、SiO2などを含む絶縁層104を導電層102の上に形成する。
従来のエッチング・プロセスなどを使用して、ビア112を絶縁層104内に形成する。導電層102内の選択された導電機構と、後から堆積させる導電層106内の選択された導電機構とを互いに電気的に接続可能にするために、導電プラグ114をビア112内に形成する。当業者に周知であるように、導電プラグ114はタングステンまたはその合金の一種を含み得る。タングステンを導電プラグ材料として使用する場合、絶縁層104内のビア112の壁に対する導電プラグ114の更に確実な接合を製造プロセス中及び使用中に可能にするために、接合層116(図1の例では、ビア112の内部を覆う環状リングの形態をなす)を使用することが多い。
次いで、導電層106を絶縁層104上に設け得る。導電層106はバリア層108を含み得る。一般的に、バリア層108はTi、TiW、TiNまたは任意の他の適切なバリア材料を含む。任意にて、バリア層108を絶縁層104及び後から堆積させる相互接続層110の間に配置し得る。バリア層108を設けた場合、シリコン原子が絶縁層104から相互接続層110内へ拡散することを、バリア層108は防止する。同様に、別のバリア層を導電層102及び絶縁層104の間に設け得る。一般的に、相互接続層110は銅、アルミニウムまたは周知のアルミニウム合金の一種(例:Al−Cu、Al−SiまたはAl−Cu−Si)を含む。
ビア112内の接合層116はバリア層108に使用する材料と同じ材料若しくは類似する材料(例:Ti、TiWまたはTiN)または同様に適する他の接合材料を含み得る。積層体10の複数の層及び複数の機構を当業者は容易に理解できる。更に、化学蒸着法(chemical vapor deposition、略して、CVD)と、プラズマ強化化学蒸着法(Plasma-enhanced chemical vapor deposition、略して、PECVD)と、スパッタリング、ウェット・エッチング及びプラズマ強化エッチングのうちの少なくともいずれか1つに代表される物理蒸着法(physical vapor deposition、略して、PVD)とを含む適切な周知の多数の堆積プロセス及びエッチング・プロセスのうちの任意の1つを使用して、積層体10の複数の層及び複数の機構を形成できる。
次いで、所望の線パターンを導電層106内へエッチングするために、上に横たわるフォトレジスト(PR)層118を、ブランケット堆積された導電層106の頂面に形成する。そして、下に横たわる導電層106のエッチングを促進するために、フォトレジスト層118をパターン化し得る(例えば、従来のフォトレジスト技術を通じてパターン化する)。例えば、この種のフォトレジスト技術の1つは、コンタクト・リソグラフィ・システムまたはステッパ・リソグラフィ・システムへのフォトレジスト材料の露出によるフォトレジスト層118のパターニングと、次のエッチングを促進すべくマスクを形成するためのフォトレジスト材料の現像とを含む。適切なエッチャントを使用することにより、マスクによって保護されていない導電層の領域をエッチングして除去する。これによって、導電性相互接続線、即ち、導電性相互接続機構が後に残される。
更に高い回路密度を実現するために、最近の半導体デバイスは更に細いデザイン・ルールでスケール化されている。この結果、機構サイズ、即ち、導電性相互接続機構の幅または互いに隣接する複数の導電機構間の空間(例:トレンチ)は着実に狭くなっている。例えば、約0.8ミクロン(μm)の線幅は4メガビット(Mb)ダイナミック・ランダム・アクセス・メモリ(DRAM)ICでは許容可能と考えられるが、256MbDRAMICは0.25ミクロン以下の幅の相互接続線を使用することが好ましい。
十分な電気的接続を保証すべく、上に横たわる導電層(例:導電層106)内のエッチングによって形成された導電機構をビア112へ整合させることは、機構サイズが小さくなるにしたがって困難になってきている。例えば、製造プロセスにおける公差に起因して、導電層106からエッチングによって形成された上側に横たわる導電線がビア112へある程度整合しなくなることがある。これによって、導電層106から所望の導電線をエッチングによって形成するために使用するエッチ・プロセスに対して、接合層116の一部が露出される。
これを示すために、図2は導電層106を従来のエッチ・プロセスでエッチングした後の図1の積層体10を示す。図2の例では、機構202は、導電層106からエッチングによって形成され、かつ、紙面に直交する方向(即ち、紙面を貫通する方向)に延びる導電線などを示す。機構206は、導電層102からエッチングによって形成され、かつ、機構202へ直交する方向(即ち、図の左右方向)に延びる導電線などを示す。
図2において、導電機構202はビア112の中心に対して水平方向に変位している(例えば、製造プロセスにおける公差に起因する)。この結果、導電機構202を形成すべく導電層106を貫くエッチングに使用するエッチ・プロセスに対して、接合層116の左部分が露出される。前記のように、接合層116はバリア層108に使用する材料に類似する材料を一般的に含むため、この接合層116は、導電層106を貫通するエッチングに使用するエッチャントに対して同様に反応する。この結果、接合層116の一部が意図せずにエッチングされ、絶縁層104内におけるプラグ114及びビア112の壁の間の接合が弱くなり得る。
一部のケースでは、接合層116の一部を導電層オーバーエッチ工程等で導電層エッチ・プロセスへ不適切に露出させることにより、エッチャント材料の一部は、損傷した接合層に沿って下に横たわる導電層102内へ浸透し得る。この結果、下に横たわる導電層機構206の一部は導電層エッチャント材料へ意図することなく露出され、かつ意図することなくエッチングされる。下に横たわる導電層102内に位置する導電機構206の損傷部分は図2の例の損傷部分204として象徴的に示す。下に横たわる導電機構206内の十分な量の導電材料が損傷した場合、電流を流す前または後に意図しない断線が生じ得る。当業者が理解するように、この接合層116及び下に横たわる導電層102内の機構の意図しないエッチングは、回路の信頼性及び歩留まりを低減し得るので望ましくない。
これらの事情に鑑みて、下に横たわる導電機構及びビア内の接合層の少なくともいずれか一方の意図しない損傷を、上に横たわる導電層内の導電機構のエッチング中に最小限に抑制する改善された技術が望まれる。
発明の概要
1つの実施形態において、本発明は基板加工チャンバ内において、半導体基板の上に配置された導電層を貫いてエッチングすることによって導電機構を形成する方法に関する。導電機構の頂部を形成するために、第1のエッチ・レシピを使用して導電層を少なくとも部分的に貫いてエッチングする工程を、この方法は含む。その後、導電機構の底部を形成するために、第1のエッチ・レシピとは異なる第2のエッチ・レシピを使用して導電層の残りの厚さを少なくとも部分的に貫いてエッチングする工程を、この方法はさらに含む。底部は頂部の下に配置されている。傾斜エッチ・フットを導電機構の底部内に形成すべく第2のエッチ・レシピは調製されている。
別の実施形態では、本発明は半導体基板上に設けられた部品を有する集積回路に関する。半導体基板の上に配置された第1の導電層からエッチングによって形成された第1の導電機構を、集積回路は有する。更に、集積回路は第1の導電機構の上に配置された絶縁層を有する。絶縁層はビアを内部に有する。
更に、集積回路はビア内に配置された導電プラグを有する。導電プラグは第1の導電機構へ電気的に接続されている。集積回路はビアの上に配置された第2の導電機構をさらに有する。第2の導電機構は絶縁層の上に配置された第2の導電層からエッチングによって形成されている。第2の導電機構は、ビア内に配置された導電プラグへ電気的に接続され、かつ、第1のエッチ・プロフィール及び第1の幅を有する頂部と、頂部の下に配置された底部とを含む。第1のエッチ・プロフィールとは異なる第2のエッチ・プロフィール及び第1の幅より広い第2の幅を、底部は有する。
さらに別の実施形態では、本発明は、プラズマ加工チャンバ内において、上に横たわる導電層から上に横たわる導電機構を形成する間、下に横たわる導電機構への損傷を防止する方法に関する。上に横たわる導電層は絶縁層によって下に横たわる導電機構から分離されており、絶縁層はビア内に配置された導電プラグを有する。導電プラグは上に横たわる導電機構及び下に横たわる導電層を絶縁層を貫いて互いに接続している。
頂部を形成するために、第1のエッチ・レシピを使用して上に横たわる導電層を少なくとも部分的に貫いてエッチングする工程を、この方法は有する。頂部は第1の幅を有する。更に、上に横たわる導電機構の底部を形成するために、第1のエッチ・レシピとは異なる第2のエッチ・レシピを使用して上に横たわる導電層の残りの厚さを少なくとも部分的に貫いてエッチングする工程を、この方法は有する。底部は第1の幅及びビアの直径のいずれよりも大きい第2の幅を有する。
本発明の前記の効果を含む効果は以下の詳細な説明を読み、かつ、複数の図面を研究することによって明らかになる。
【図面の簡単な説明】
図1は一般的な半導体デバイスの製造中に形成される複数の層に相当する積層体の断面図である。
図2は導電層を従来のエッチ・プロセスでエッチングした後の図1の積層体を示す断面図である。
図3は本発明のエッチ技術との併用に適するエッチング反応装置に相当するTCP(商標)9600SEプラズマ反応装置の概略図である。
図4は本発明の1つの実施形態に基づくエッチ技術で形成した傾斜エッチ・フットを含む導電機構を示す断面図である。
図5は傾斜エッチ・フットを形成するための本発明の1つの実施形態に基づくエッチ技術を示すフローチャートである。
図6はバルク・エッチ工程後に残された導電層の一部を、2つのエッチ工程でエッチングする本発明の1つの実施形態を示すフローチャートである。
図7はバルク・エッチ工程後に残された導電層の一部を、3つのエッチ工程でエッチングする本発明の1つの実施形態を示すフローチャートである。
好ましい実施形態の詳細な説明
添付図面に示す本発明の幾つかの好ましい実施形態に関連して、本発明を以下に詳述する。以下の説明において、多くの詳細部分は本発明の完全な理解の提供を目的として開示する。しかしながら、これらの詳細部分の幾つかまたは全てを削除した状態で、本発明を実施できることは当業者にとって明白である。別の例では、本発明を不必要に不明確にしないために、周知のプロセス工程の詳細を説明しない。
本発明の1つの態様では、導電層を少なくとも2つの工程でエッチングすることによって、前記の歩留まりの減少の問題を軽減する。第1の導電層エッチ工程では、高いエッチ速度(これにより高いウェハ・スループットを実現)及び実質的な異方性エッチ作用の少なくともいずれか一方を達成するために、導電層をバルク・エッチ・レシピでエッチングすることが好ましい。第2の導電層エッチ工程では、傾斜エッチ・フットを出来上がった導電機構内に形成すべく調製したエッチ・レシピによって、導電層の残りの厚さをエッチングする。
エッチングされた導電機構が製造プロセスにおける公差などの原因によってビアと整合していない場合、導電層のうちの異方性の更に高いエッチングを施した部分(バルク導電層エッチ工程、即ち、第1のエッチ工程で形成された部分)より大きい断面を有する傾斜エッチ・フットは、ビア内の損傷しやすい接合層へさらに効果的に重なり得る。関連するデザイン・ルールの増大を要することなく、即ち、更に幅の広いフォトレジスト・マスク機構を指定することによって更に厚い導電機構をバルク・エッチ工程中にエッチングすることを要することなく、これによって、本発明は下に横たわるビア接合層及び下に横たわる導電層内の機構のさらに強力な保護を効果的に促進する。
ドライ・エッチング、プラズマ・エッチング、反応性イオン・エッチング(reactive ion etching、略して、RIE)、磁気強化反応性イオン・エッチング(magnetically enhanced reactive ion etching、略して、MERIE)または電子サイクロトロン共鳴(electron cyclotron resonance、略して、ECR)などに適合したプラズマ加工装置を含む従来の任意のプラズマ加工装置において、本発明の導電層エッチ技術を実施できる。ドライ・エッチングに適合した一般的なプラズマ加工チャンバでは、更に精巧に作り上げるために、ウェハをプラズマで処理する。プロセス・エッチャント・ソース・ガスをチャンバ内部へ供給する入口ポートを、チャンバは含む。プラズマをエッチャント・ソース・ガスから形成するために、適切なRFエネルギー源をチャンバに関連した電極へ加える。周知のように、プラズマを維持するために、エネルギー自体を誘導結合または容量結合し得る。次いで、ウェハ積層体と反応させ、かつ、ウェハ積層体のうちのプラズマの当たる領域でエッチングするために、化学種をエッチャント・ソース・ガスから形成する。そして、揮発性の副産物を出口ポートを通じて排気する。
プラズマ・エッチングはウェハをその加工中に陽極、即ち、接地電極上へ配置する場面に関連している。その一方、反応性イオン・エッチング(RIE)はウェハをその加工中に陰極、即ち、電源電極上へ配置する場面に関連している。磁気強化反応性イオン・エッチング(MERIE)はRIE反応装置構造へ変更を加えたものであり、エネルギーを持つ電子が反応装置壁面に奪われることを低減するために、磁界を加える。電極からプラズマ内の電子に対するエネルギー伝達の効率を、MERIE反応装置が特定の条件下で高め得ることが確認されている。
前記の複数の反応装置及び他の適切なプラズマ加工反応装置のうちの任意の1つで、本発明を実施できることが予想される。容量結合された複数の平行電極板と、ECRマイクロ波プラズマ・ソースと、ヘリコン、ヘリカル・リゾネータ及びトランス結合プラズマ(これがプレーナ・プラズマであるか否かは関係無い)などの誘電結合されたRF源とのうちのどれを介して、エネルギーをプラズマへ伝播するかとは無関係に、前記の事柄は事実である。数ある中で、ECR加工システム及びTCP(トランス結合プラズマ,transformer coupled plasma:商標)加工システムは商業的に簡単に入手できる。TCP(商標)システムは例えばカリフォルニア州フリーモントに所在するラム・リサーチ・コーポレイションから入手可能である。
前記のように、従来の任意の適切なプラズマ加工システムを効果的に使用できる。しかし、好ましい実施形態では、本発明をラム・リサーチ・コーポレイションから入手可能なTCP(商標)9600SEプラズマ反応装置内で使用する。図3は、基板350を含むTCP(商標)9600SEプラズマ反応装置の概略と、基板350を本発明のエッチ・プロセスに基づいてエッチングし、次いで、従来のポストエッチ工程で加工した後、この基板350からカットしたダイから形成した典型的な集積回路チップ352とを示す。説明を簡単にするために、ICウェハを1つの例として使用しているが、本発明のエッチ・プロセスは任意の基板(例:フラット・ディスプレイ・パネル)のエッチングに適用できる点に注意する必要がある。
図3において、反応装置300はプラズマ加工チャンバ302を有する。電極(図3の例では、この電極はコイルからなる)303がチャンバ302の上側に配置されている。電圧をRFジェネレータ305からマッチング・ネットワーク(図3における図示略)を通じてコイル303へ印加する。
チャンバ302内には、シャワー・ヘッド304が設けられている。シャワー・ヘッド304は、ガス状ソース材料(例:エッチャント・ソース・ガス)をシャワー・ヘッド304及び基板350の間のRF誘導プラズマ領域内へ放出するための複数の孔を有することが好ましい。ガス状ソース材料はチャンバ自体の壁に設けられたポートまたはチャンバ内に配置したガス・リング(環状をなし得る)からも放出し得る。基板350をチャンバ302内へ挿入し、かつ、チャック310上へ配置する。チャック310は第2の電極として機能する。そして、(一般的には、マッチング・ネットワークを通じて)高周波ジェネレータ320によってチャック310へバイアスをかけることが好ましい。
均一であって、かつ、反復可能なエッチング結果を保証するために、加工中の基板の温度を正確に制御するための伝熱媒体として機能させるべく、ヘリウム冷却ガスをチャック310及び基板350の間に加圧下で案内する。プラズマ・エッチング中、チャンバ302内の圧力はガスをポート360を通じて逃がすことによって制御できる。エッチングのための適切なチャンバ温度を維持するために、複数のヒーター(図解を簡単にするために図3では省略してある)が備えられ得る。接地への電気的パスを提供するために、一般的には、チャンバ302のチャンバ壁は接地される。
前記のように、エッチングされた導電機構内に傾斜エッチ・フットを意図的に形成することによって、酸化物層内の導電プラグ及びビアの壁の間に位置する接合層に対する損傷(この損傷は図2の機構206などの下に横たわる金属層内の機構の損傷を招来し得る)は、本発明の1つの態様に基づいて効果的に減少する。導電層が絶縁層と交わるポイントに位置するエッチングされた導電機構の傾斜エッチ・フットの更に大きなフットプリントにより、この傾斜エッチ・フットは、公差による不整合がエッチングされた導電機構及びその下に横たわる絶縁層内のビアの間に生じた場合にも、エッチングされた導電機構が更に完全にビアに重なる確率を効果的に増大する。従って、上に横たわる導電層をエッチングするために使用するエッチ・プロセスに対して、ビア内の接合層の環状リングの領域が露出される確率は効果的に最小限に抑制される。これに付随して、接合層及び下に横たわる導電層機構の少なくともいずれか一方が損傷する確率も最小限に抑制される。
導電機構傾斜エッチ・フットを意図的に形成する目立たないエッチング工程をバルク・エッチ工程後に実施することにより、本発明の導電層エッチ技術は接合層及び下に横たわる導電機構の少なくともいずれか一方を損傷する可能性を1つの実施形態において減少する。この傾斜エッチ・フットの意図的な導入は、エッチ機構全体にわたる異方性の更に高いエッチングの実現、即ち、エッチングされた機構の頂面から底面まで達する実質的に垂直な側壁の実現を試みる最近のエッチ・デザインにおける努力とは相反するものである。
前記のように、垂直エッチ側壁の実現を試みる現代のエッチ・プロセスにおいて一般的に望まれない傾斜エッチ・フットは、導電機構の頂部の拡大を要することなく本ケースにおける接合層の保護を効果的に高める。導電機構の頂部の寸法が変更されていないため、導電機構を上に横たわる導電層からエッチングによって作り出すことを促進すべくパターン化されたフォトレジスト機構は、既存のフォトレジスト・パターニング・ツールを使用することによって依然としてパターン化可能である。都合の良いことに、本発明の改善された歩留まりを達成するために、フォトレジスト・パターニング・ツール及びフォトレジスト・パターンのうちの少なくともいずれか一方の変更は必要ない。
本発明の特徴及び効果の説明を容易にするために、図4は本発明の1つの実施形態に基づく導電機構402を示す。この導電機構402は本発明のエッチ技術に基づいて形成された傾斜エッチ・フットを含む。図4において、基板100、下に横たわる導電層102、絶縁層104、バリア層108、プラグ114及び接合層116は図1及び図2に同じ符号で示す構造と実質的に類似している。その上に配置したままのパターン化されたフォトレジスト部分118と一緒に示す導電機構402は、傾斜フット部分404(a),404(b)を含む。
図4に示すように、導電機構402の頂部406は、パターン化されたフォトレジスト部分118の幅によって決定される幅d1を有する。都合の良いことに、幅d1を有する機構を含むフォトレジスト・マスクは、従来のフォトレジスト・パターニング・ツール及びフォトレジスト・デザイン・ルールの少なくともいずれか一方を使用して形成できる。例えば、幅d1は導電機構402をその上に配置されるビアの直径より狭くできる(但し、これは必ずしも必要ではない)。高いエッチ速度及び実質的に垂直な側壁の少なくともいずれか一方を実現するために最適化したエッチ・プロセスを好ましくは使用して、バルクエッチングした導電層の部分を、頂部406は表している。
接合層116及び下に横たわる導電層102内の機構の少なくともいずれか一方に対する更に強力な保護を提供するために、バリア層108の少なくとも一部を有する導電機構402の底部408は、幅d1より効果的に広い幅d2を有する。底部408は頂部のエッチ・プロフィールと異なるエッチ・プロフィールを有することが好ましい。例えば、1つの実施形態において、頂部は実質的な異方性エッチ・プロフィールを有する一方、第2のエッチ・プロフィールは更に大きな傾斜を有するフットプリント、即ち、更に拡大したフットプリントを有する。
1つの実施形態では、幅d2は導電機構402をその上に配置するビアの直径より大きいことが好ましい。但し、幅d2は互いに隣接する複数の機構を互いに短絡させる大きさを有してはならない。幅d1,d2の正確な大きさはデバイス間及びプロセス間で異なり得る。図示するように、導電機構402がパターニング及び製造プロセスのうちの少なくともいずれか一方の公差などに起因して絶縁層104内のビアに対して整合していない場合でも、接合層116の保護は底部408(底部408の傾斜フット部分404(a),404(b)を使用することにより)によって更に効果的に提供できる。
前記のように、導電機構402の底部408はバリア層108の少なくとも一部を含み得る。勿論、導電層がバリア層を必要としない場合、底部408は導電層全体の一部に相当し得る。1つの実施形態では、バリア層108を提供する場合、頂部406を形成するバルク・エッチ工程は、相互接続層110及びバリア層108の間の界面へ到達する前に終了する。換言するならば、底部408は相互接続層110の少なくとも一部及びバリア層108の全体を含み得る。別の実施形態では、底部408はバリア層108のみを含む。即ち、頂部406を形成するバルク・エッチ工程は相互接続層110及びバリア層108の間の界面で終了する。更に別の実施形態では、底部408はバリア層108の一部のみを含み得る。即ち、頂部406を形成するバルク・エッチ工程は相互接続層110及びバリア層108の間の界面を越えてバリア層の少なくとも一部へ達するまで継続する。バルク・エッチの正確な深度(及びこれに付随する底部408の正確な厚さ)は、傾斜部分402(a)及び402(b)の少なくともいずれか一方の所望の幅d2及び傾斜度に基づくことを理解する必要がある。
1つの実施形態では、底部408を形成するエッチング工程(即ち、バルク・エッチ工程後に実施するエッチ工程)は、単一の工程で実施し得る。別の実施形態では、底部408を形成するエッチング工程は複数の工程を含み得る。例えば、相互接続層110の残された部分を貫通してエッチングするために、1つのエッチング工程を使用可能である。次いで、バリア層108を貫通してエッチングし、かつ、バリア層を貫通するオーバーエッチ工程を実施するために、別のエッチ工程を使用し得る。オーバーエッチは当業者に周知の概念であり、導電材料及びバリア材料の少なくともいずれか一方の痕跡を、同材料の存在が望ましくない領域、即ち、保護フォトレジストのない領域から実質的に除去することを保証するために実施するエッチングを指す。更に別の例として、相互接続層110の残された部分を貫通してエッチングするために、1つのエッチング工程を使用し、バリア層108を貫通してエッチングするために、別のエッチング工程を使用し、バリア層を貫通するオーバーエッチ工程を実施するために、更に別のエッチ工程を使用し得る。
本発明の1つの態様に基づき、導電機構402の傾斜エッチ・フットを、バルク・エッチ・レシピに類似するレシピを使用して形成する(但し、傾斜エッチ・フットを形成するために、バルク・エッチ・レシピの1つ以上のパラメータを変更して使用する)。例えば、エッチ・フットの形成を促進するために、バルク・エッチ・レシピのエッチ速度を低下させ得る。学説に固執することを望むわけではないが、導電層エッチングは保護フォトレジスト機構(例:図4のフォトレジスト機構118)を時間の経過とともに侵食すると信じられている。エッチング中、侵食されたフォトレジスト材料の一部は、形成された導電機構(例:導電機構402)の側壁に沿って重合する。導電機構402全体にわたる垂直エッチ速度を低下させた場合、エッチング中の導電機構の側壁と、部分的にエッチングされた導電層の表面とが交差するコーナーなどで堆積する更に長い時間を、ポリマーは有する。この側方におけるポリマー堆積が増大することにより、この領域内の導電層は更に強力に保護される。この結果、エッチングを継続する間、導電層は更に低い異方性でエッチングされる。即ち、エッチングを導電層全体にわたって下方へ継続して行う間に、導電エッチ・フットが形成される。
これに代わるメカニズムまたは追加メカニズムとして、基板を載置した電極の温度が低下され得る。前と同様に学説に固執することを望むわけではないが、電極の温度(及びこれに付随してエッチング中の基板の温度も)を低下させることにより、ポリマー粒子が基板上に凝縮または凝結する速度が増大すると信じられている。ポリマー凝縮の増大によって、ポリマー堆積物が増大する。これによって、エッチ速度の低下に関連して前述した方法に類似する方法でのエッチ・フットの形成が促進される。1つの実施形態では、例えばバックサイド・ヘリウム冷却圧力を増大することによって、基板の温度を低下させ、これによって、加工中の基板及びチャックの間の伝熱速度を増大する。
更に別のメカニズムまたは追加メカニズムとして、エッチ・フットの形成を促進するために、基板を載置した電極のDCバイアスを増大し得る。この下側電極のバイアスを増大することにより、エッチのスパッタリング・コンポーネントが増大し、これによって、フォトレジスト侵食速度及びポリマー堆積速度がそれぞれ増大する。
図5は傾斜エッチ・フットを形成する本発明の1つの実施形態に基づくエッチ技術を示す。ステップ502では、上に横たわる導電層(例:図1の導電層106)の少なくとも一部をバルク・エッチ・レシピを使用してエッチングする。前記のように、基板スループットを増大するために、バルク・エッチ・レシピは導電層全体にわたるエッチ速度を最大限にすべく調製することが好ましい。これに加えて、またはこれに代えて、幾つかのケースでは、バルク・エッチ工程は実質的な異方性エッチ機構、即ち、実質的に垂直なエッチ側壁を形成するように調製され得る。ステップ504では、傾斜エッチ・フットを形成すべく調製したレシピを使用して、導電層の残された部分をエッチングする。1つの例では、相互接続層(例:図1の相互接続層110)を貫いてエッチングする前に、ステップ502を終了する。別の例では、ステップ502は、相互接続層((例:図1の相互接続層110)を実質的に貫いてエッチングするために使用され得る。そして、ステップ504はバリア層(例:図1のバリア層108)を貫いてエッチングするために使用され得るとともに、オーバーエッチ工程として使用され得る。
1つの実施形態では、ステップ504で使用されるレシピは、ステップ502で使用されるレシピの1つ以上のバルク・エッチ・パラメータを変更してあるが、ステップ502で使用されるレシピと実質的に同じである。前記のように、導電層全体にわたるエッチ速度が低減されたとき、基板が載置された電極の温度が低減されたとき、及び下側電極のDCバイアスが増大されたときのうちの少なくともいずれか1つにおいて、傾斜エッチ・フットが形成される。傾斜エッチ・フットを実現するために、他のパラメータをステップ502のバルク・エッチで使用するパラメータから変更しても良い。
前記のように、傾斜エッチ・フットを形成するために調製したエッチング工程は1つ以上の独立したエッチング工程を含み得る。例えば、バルク・エッチを完了した後、相互接続層(例:図1の相互接続層110)の残された部分を貫いてエッチングするために、別のエッチング・レシピを使用し得る。更に、別のバリア・エッチ・レシピを、バリア層(例:図1のバリア層108)を貫いてエッチングするために使用するとともに、オーバーエッチ・レシピとして使用し得る。図6はこの実施形態を示しており、図5の傾斜エッチ・フット・エッチ・ステップ504を2つの独立したエッチング・ステップ602,604で達成している。図6において、ステップ602及びステップ604の少なくともいずれか一方は傾斜エッチ・フットを形成するために調製されたエッチ工程に相当することが好ましい。
別の実施形態では、オーバーエッチ工程を実施するために、別のエッチ・レシピを使用し得る。図7は別の実施形態を示しており、図5の傾斜エッチ・フット・エッチ・ステップ504を3つの独立したステップによって達成している。ステップ702では、傾斜エッチ・フットを形成すべく調製したレシピを好ましくは使用して、相互接続層の残された部分(図5のステップ502の後で残された部分)をエッチングする。
ステップ704では、バリア・エッチ・レシピを使用してバリア層(例:図1のバリア層108)がエッチングされる。ステップ702によって傾斜エッチ・フットが既に形成されていることがある。このため、ステップ704で使用されるバリア・エッチ・レシピを、傾斜エッチ機構をバリア層内に形成すべく調製することは常に必要ではない。比較的薄いバリア層を有する積層体の場合、これは特に事実である。しかし、1つの実施形態では、傾斜エッチ・フットを更に拡大するか、または実質的に維持するように、ステップ704で使用されるバリア・エッチ・レシピを調製し得る。ステップ706では、導電材料の全ての痕跡を、導電材料の存在が望ましくない領域(例:エッチングされた複数の機構の間の領域及びオープン・フィールド領域)から除去するために、別のオーバーエッチ・レシピが使用され得る。
エッチングによって設けられた導電機構上における傾斜エッチ・フットの形成に適した複数のパラメータの大まかな範囲を以下に詳述する。これらのパラメータの範囲はTi、TiNまたはTiWを含むバリア層を有し得るアルミニウム合金導電層のエッチングに適する。フッ素化学のケースでは、任意のバリア層は例えばWまたはTiWを含み得る。パラメータの適切な範囲はTCP9600SEプラズマ反応装置内の100mmウェハ及び200mmウェハに関連して以下に開示する。しかし、ここに開示するエッチ技術を他の大きさのウェハ及び他のエッチ反応装置(プラズマを使用するか否かは関係ない)の少なくともいずれか一方へ適合させることを当業者が実施できるようにするガイドラインとして、これらの範囲を使用する必要がある。
TCP9600SEプラズマ反応装置内で、塩素化学を使用してエッチングした100mmウェハ
塩素化学を使用してエッチングした100mmウェハの場合、エッチャント・ソース・ガス(標準立方センチメートル毎分)の総流量は、約40標準立方センチメートル毎分(sccm)及び約150sccmの間であり、より好ましくは約40sccm及び約200sccmの間であり、好ましくは約70sccmである。BCl3に対するCl2の比率は約0.25及び約2.0の間であり、より好ましくは約0.5及び約1.3の間であり、好ましくは約1.0である。
TCP電力は約200ワット(W)及び約500Wの間であり、より好ましくは約350W及び約450Wの間であり、好ましくは約475Wである。底部電極電力に対するTCP電力の比率は約1.15及び約3.0の間であり、より好ましくは約1.2及び約1.45の間であり、最も好ましくは約1.35である。
ミリトリ(mT)で表されるチャンバ内部の圧力は、約4mT及び約25mTの間であり、より好ましくは約8mT及び約15mTの間であり、最も好ましくは約10mTである。トル(T)で表されるバックサイド・ヘリウム冷却圧力は0トル(T)及び約20Tの間であり、より好ましくは約8T及び約12Tの間であり、最も好ましくは約10Tである。
更に、ポリマー堆積促進ガスを提供し得る。1つの実施形態では、窒素(N2)を約3sccm及び約25sccmの間で、より好ましくは約5sccm及び約10sccmの間で、最も好ましくは約5sccmで供給する。これに加えて、またはこれに代えて、酸素(O2)を約3sccm及び約15sccmの間で、より好ましくは約3sccm及び約5sccmの間で、最も好ましくは約4sccmで任意にて提供し得る。更に、前記のガスに加えて、または前記のガスに代えて、過フッ化炭化水素ガス(例:任意のフレオン・ガス)を約3sccm及び約15sccmの間で、より好ましくは約3sccm及び約10sccmの間で、最も好ましくは約5sccmで加え得る。更に、前記のガスに加えて、または前記のガスに代えて、炭化水素ガス(例:メタン)を約3sccm及び約15sccmの間で、より好ましくは約3sccm及び約10sccmの間で、最も好ましくは約4sccmで加え得る。
TCP9600SEプラズマ反応装置内で、フッ素化学を使用してエッチングした100mmウェハ
1つの実施形態では、塩素化学の代わりに、または塩素化学に加えて、フッ素化学を使用し得る。フッ素化学を使用する場合、塩素化学に関連して述べたパラメータ(例:チャンバ圧力、バックサイド・ヘリウム冷却圧力及びポリマー堆積促進ガス)を適用し得る。しかし、フッ素化学のためのRF電力は約200W及び約600Wの間であり、より好ましくは約400W及び約500Wの間であり、最も好ましくは約500Wである。
底部電極電力に対するTCP電力の比率は、約2及び約24の間であり、より好ましくは約2.5及び約6の間であり、最も好ましくは約3である。エッチャント・ガスの総量(sccm)は約30sccm及び約100sccmの間であり、より好ましくは約40sccm及び約60sccmの間であり、最も好ましくは約50sccmである。BCl3に対するSF6の比率は約0.5及び約4.0の間であり、より好ましくは約1.0及び約3.0の間であり、最も好ましくは約1.2である。塩素(Cl2)をガス総流量の約1%及び約60%の間で、より好ましくは約10%及び約30%の間で、好ましくは約20%で任意にて加え得る。ポリマー堆積促進ガスをさらに提供し得る。例えば、窒素(N2)を約5sccm及び約10sccmの間で、より好ましくは約5sccmで提供し得る。
TCP9600SEプラズマ反応装置内で、塩素化学を使用してエッチングした200mmウェハ
1つの実施形態では、TCPのRF電力は約250W及び約700Wの間であり、より好ましくは約400W及び約550Wの間であり、最も好ましくは約450Wである。底部電極電力に対するTCP電極電力の比率は約1.15及び約3.0の間であり、より好ましくは約1.2及び約1.45の間であり、最も好ましくは約1.2である。
エッチャント・ソース・ガスの総量は約40sccm及び約400sccmの間であり、より好ましくは約40sccm及び約200sccmの間であり、最も好ましくは約100sccmである。BCl3に対するCl2の比率は約0.25及び約2.0の間であり、より好ましくは約0.5及び約1.3の間であり、最も好ましくは約0.6である。チャンバ内部圧力は約4ミリトル(mT)及び約25mTの間であり、より好ましくは約8mT及び約15mTの間であり、最も好ましくは約10mTである。バックサイド・ヘリウム冷却圧力は約0T及び約20Tの間であり、より好ましくは約8T及び約12Tの間であり、最も好ましくは約12Tである。
更に、ポリマー堆積促進ガスを提供し得る。例えば、窒素(N2)を約5sccm及び約10sccmの間で、より好ましくは約5sccmで提供し得る。
TCP9600SEプラズマ反応装置内で、フッ素化学を使用してエッチングした200mmウェハ
100mmウェハの例同様に、塩素化学エッチ工程の代わりに、または塩素化学エッチ工程に加えて、フッ素化学を使用し得る。フッ素化学のケースでは、200mmウェハ塩素エッチの例に関連する特定のパラメータ(例:チャンバ内部圧力及びバックサイド・ヘリウム冷却圧力)を使用し得る。但し、TCPのRF電力は約200W及び約700Wの間であり、より好ましくは約400W及び約600Wの間であり、最も好ましくは約600Wである。底部電極電力に対するTCP電力の比率は約2及び約24の間であり、より好ましくは約2.5及び約6の間であり、最も好ましくは約3である。
エッチャント・ソース・ガスの総量は約50sccm及び約150sccmの間であり、より好ましくは約60sccm及び約100sccmの間であり、最も好ましくは約80sccmである。BCl3に対するSF6の比率は約0.05及び約4.0の間であり、より好ましくは約1.0及び約3.0の間であり、最も好ましくは約2.2である。Cl2を約1sccm及び約25sccmの間で、より好ましくは約1sccm及び約15sccmの間で、最も好ましくは約10sccmで任意にて加え得る。
更に、ポリマー堆積促進ガスを提供し得る。例えば、窒素(N2)を約3sccm及び約25sccmの間で、より好ましくは約5sccm及び約15sccmの間で、最も好ましくは約10sccmで提供し得る。これに代えて、またはこれに加えて、酸素(O2)を約3sccm及び約15sccmの間で、より好ましくは約5sccm及び約10sccmの間で加え得る。他のポリマー堆積促進ガスに代えて、または他のポリマー堆積促進ガスに加えて使用できる任意のフレオン及び炭化水素に関する同様の数値範囲が存在する(例:約3sccm及び約15sccmの間、より好ましくは約5sccm及び約10sccmの間)。
フッ素化学のケースでは、チャンバ圧力は約4ミリトル(mT)及び約25mTの間であり、より好ましくは約8mT及び約15mTの間であり、最も好ましくは約12mTである。バックサイド・ヘリウム冷却圧力は約0T及び約20Tの間であり、より好ましくは約8T及び約12Tの間であり、最も好ましくは約12Tである。

例1
サンプル・エッチでは、バリア層としての1,500オングストロームのTiWと、5,500オングストロームのAl−Si−Cuを含む上に横たわる相互接続層と、250オングストロームのTiNを含む上に横たわる反射防止コーティング(ARC)層とを有する200mmウェハをTCP9600SEプラズマ反応装置内で加工した。バルク・エッチに使用したケミストリはCl2及びBCl3を含む。傾斜エッチ・フット・エッチ工程に使用したケミストリはSF6及びN2をさらに含む。
安定化工程では、チャンバ内の圧力は約12ミリトルであり、バックサイド・ヘリウム圧力は約5トルである。Cl2エッチャント・ソース・ガス及びBCl3エッチャント・ソース・ガスはそれぞれ約80sccm及び約40sccmで流した。圧力(チャンバ圧力及びバックサイド冷却圧力の少なくともいずれか一方)及びプラズマ反応装置内のガス流量状態が安定化した際、安定化工程は終了する。
突破及びアルミニウム・バルク・エッチ工程では、バックサイド・ヘリウム冷却圧力(約5トル)同様に、反応装置・チャンバ内の圧力(約12ミリトル)を同じに維持した。頂部TCP電極電力は約500Wであり、底部電極電力は約350Wである。Cl2ガス流量及びBCl3ガス流量はそれぞれ約80sccm及び約40sccmである。例えば261nm光エミッションを監視することによって、アルミニウム・エンドポイントが検出された際、この突破及びアルミニウム・バルク・エッチ工程は終了する。
次いで、同じチャンバ内部圧力(約12ミリトル)及び同じRF電力(TCP電力及び底部電極電力はそれぞれ約500W及び約350W)を使用して、アルミニウム・オーバーエッチ及びクリーンアップ工程を実施する。このアルミニウム・オーバーエッチ/クリーンアップ工程におけるCl2ガス流量及びBCl3ガス流量はそれぞれ約50sccmである。バックサイド・ヘリウム冷却圧力は約12トルである。オーバーエッチ工程は80%オーバーエッチである。即ち、このオーバーエッチ・エッチング工程の継続時間は突破及びアルミニウム・バルク・エッチ工程の継続時間の約80%である。
傾斜エッチ・フットをTiWバリア層内に形成するために、RF電力、Cl2ガス流量及びBCl3ガス流量を変更する。例えば、傾斜エッチ・フット・エッチ工程では、TCP電力及び底部電極電力はそれぞれ約600W及び200Wである。Cl2ガス流量及びBCl3ガス流量はそれぞれ約10sccm及び約25sccmである。更に、SF6ガス流量を約55sccmで提供する。ポリマー堆積促進N2を約10sccmで流す。バックサイド・ヘリウム冷却圧力を約12トルに維持し、チャンバ内部の圧力は約12ミリトルとする。例えば703nm光エミッションを監視することによって、タングステン・エンドポイントを検出した際、傾斜エッチ・フット・エッチ工程は終了する。
TiWオーバーエッチ及びクリーンアップ・エッチ(100%オーバーエッチ)を実施する。このTiWオーバーエッチ工程では、内部チャンバ圧力を約12ミリトルに維持し、バックサイド・ヘリウム冷却圧力を約5トルとする。TCP電極及び底部電極のRF電力をそれぞれ約600W及び約200Wで同じに維持する。実質的に、塩素ガスをTiWオーバーエッチ工程で全く流さない。しかし、約25sccmのBCl3及び約80sccmのSF6をTiWオーバーエッチ工程中にチャンバ内へ流す。
例2
別のサンプル・エッチでは、バリア層としての1,000オングストロームのTiNと、7,500オングストロームのAl−Si−Cuを含む上に横たわる相互接続層と、250オングストロームのTiNを含む上に横たわる反射防止コーティング(ARC)層とを有する200mmウェハを、TCP9600SEプラズマ反応装置内で加工した。
安定化工程では、内部チャンバ圧力は約10ミリトルである。Cl2流量及びBCl3流量はそれぞれ約90sccm及び約60sccmである。バックサイド・ヘリウム圧力は約5トルである。圧力(チャンバ圧力及びバックサイド冷却圧力の少なくともいずれか一方)及びプラズマ反応装置内のガス流量状態が安定化した際、安定化工程は終了する。
ARC層を突破し、かつアルミニウム・バルク・エッチを実施するために、別のエッチ工程を使用する。この突破及びアルミニウム・バルク・エッチ工程では、チャンバ内の圧力は約10ミリトルである。頂部TCP電極及び底部電極のRF電力はそれぞれ約500W及び350Wである。塩素エッチャント・ソース・ガス及びBCl3エッチャント・ソース・ガスをそれぞれ約90sccm及び約60sccmで流す。バックサイド・ヘリウム冷却圧力は約5トルである。アルミニウム・エッチ工程のエンドポイントを検出した際、突破及びアルミニウム・バルク・エッチは終了する。
別のエッチ工程では、傾斜エッチ・フットを形成し、かつ、オーバーエッチ工程を実施するために、エッチ・レシピを使用する。この工程では、チャンバ内の圧力は約10ミリトルである。TCP電極及び底部電極のRF電力の設定はそれぞれ約450W及び約375Wである。Cl2エッチャント・ソース・ガス流量及びBCl3エッチャント・ソース・ガス流量はそれぞれ約40sccm及び約60sccmである。バックサイド・ヘリウム冷却圧力は約12トルである。100%オーバーエッチを達成した際、このエッチ工程は終了する。
以上、本発明を幾つかの好ましい実施形態に関連して詳述したが、本発明の範囲に属する置換物、改良物及び等価物が存在する。本発明の方法及び装置を実現する多くの別の方法が存在し得ることに注意する必要がある。従って、本発明の趣旨及び範囲に属する全ての置換物、改良物及び等価物を含むことを以下の請求の範囲は意図している。

Claims (20)

  1. 基板加工チャンバ内において、半導体基板の上に配置された導電層を貫いてエッチングすることによって、導電層の下に配置した絶縁層内のビアであって、導電プラグと、導電プラグとビアの内壁との間に配置された層とを有するビアの上に配置される導電機構を形成する方法であって、
    前記ビアの直径より狭い幅を有する、前記導電機構の頂部を形成するために、第1のエッチ・レシピを使用して前記導電層を少なくとも部分的に貫いてエッチングする工程と、
    その後、少なくとも前記ビアの直径と同じ大きさの幅を有する、前記導電機構の底部及び前記ビアの間の界面における前記導電機構の底部を形成するために、前記第1のエッチ・レシピとは異なる第2のエッチ・レシピを使用して前記導電層の残りの厚さを少なくとも部分的に貫いてエッチングする工程と
    を有し、前記底部は前記頂部の下に配置され、傾斜エッチ・フットを前記導電機構の底部内に形成すべく前記第2のエッチ・レシピは調製されている方法。
  2. 請求項1に記載の方法において、前記第1のエッチ・レシピは前記第2のエッチ・レシピで達成されるエッチ速度より高いエッチ速度を前記導電層全体にわたって達成すべく調製されている方法。
  3. 請求項1または2に記載の方法において、前記第1のエッチ・レシピはエッチ速度を前記導電層全体にわたって最大にすべく調製されている方法。
  4. 請求項1ないし3のいずれかに記載の方法において、前記基板加工チャンバはプラズマ加工チャンバに相当する方法。
  5. 請求項1ないし4のいずれかに記載の方法において、前記プラズマ加工チャンバはトランスフォーマ・カップルド・プラズマ・エッチ・チャンバに相当する方法。
  6. 請求項1ないし5のいずれかに記載の方法において、前記導電機構は塩素含有ガスを使用してエッチングする方法。
  7. 請求項1ないし5のいずれかに記載の方法において、前記導電機構はフッ素含有ガスを使用してエッチングする方法。
  8. 請求項5ないしのいずれかに記載の方法において、前記トランスフォーマ・カップルド・プラズマ・エッチ・チャンバは前記基板の下に配置された下側電極を有し、前記導電機構の底部をエッチングする間における前記下側電極のDCバイアスは、前記導電機構の頂部を形成する間における前記下側電極のDCバイアスより高い方法。
  9. 請求項1ないし8のいずれかに記載の方法において、前記導電機構の底部をエッチングする間における前記基板の温度は、前記導電機構の頂部を形成する間における前記基板の温度より低く維持される方法。
  10. 請求項1ないし9のいずれかに記載の方法において、前記導電プラグはチタンを含む方法。
  11. 請求項1から10のいずれかに記載の方法において、前記導電プラグは下に横たわる導電機構へ電気的に接触しており、前記下に横たわる導電機構は前記絶縁層の下に位置する方法。
  12. 請求項1ないし11のいずれかに記載の方法において、前記半導体基板は集積回路の製造に使用する半導体基板に相当する方法。
  13. 半導体基板上に設けられた部品を有する集積回路であって、
    前記半導体基板の上に配置された第1の導電層からエッチングによって形成された第1の導電機構と、
    前記第1の導電機構の上に配置され、かつ、ビアを内部に有する絶縁層と、
    前記ビア内に配置され、かつ、前記第1の導電機構へ電気的に接続されている導電プラグと、
    前記導電プラグ及び前記ビアの内壁の間に配置された層と、
    前記絶縁層の上に配置された第2の導電層からエッチングによって形成され、かつ、前記ビアの上に配置された第2の導電機構と
    を有し、前記第2の導電機構は、前記ビア内に配置された導電プラグへ電気的に接続され、かつ、
    第1のエッチ・プロフィール及び前記ビアの直径より狭い第1の幅を有する頂部と、
    前記第1のエッチ・プロフィールとは異なる第2のエッチ・プロフィール及び前記ビアの直径より広い第2の幅を備え、かつ、前記頂部の下に配置された底部と
    を有する集積回路。
  14. 請求項13に記載の集積回路において、前記導電プラグはタングステンを含む集積回路。
  15. 請求項13または14に記載の集積回路において、前記第1のエッチ・プロフィールは前記第2のエッチ・プロフィールより異方性が高い集積回路。
  16. プラズマ加工チャンバ内において、上に横たわる導電層から上に横たわる導電機構を形成する間、下に横たわる導電機構への損傷を防止する方法であって、前記上に横たわる導電層は絶縁層によって前記下に横たわる導電機構から分離されており、前記絶縁層はビア内に配置された導電プラグを有し、前記導電プラグは前記上に横たわる導電機構及び前記下に横たわる導電層を前記絶縁層を貫いて互いに接続し、前記ビアは前記導電プラグとビアの内壁との間に配置された層を有し、前記方法において、
    前記ビアの直径より狭い第1の幅を有する頂部を形成するために、第1のエッチ・レシピを使用して前記上に横たわる導電層を少なくとも部分的に貫いてエッチングする工程と、
    前記第1の幅及び前記ビアの直径のいずれよりも大きい第2の幅を有する前記上に横たわる導電機構の底部を形成するために、前記第1のエッチ・レシピとは異なる第2のエッチ・レシピを使用して前記上に横たわる導電層の残りの厚さを少なくとも部分的に貫いてエッチングする工程と
    を含む方法。
  17. 請求項16に記載の方法において、前記第1のエッチ・レシピは前記第2のエッチ・レシピより高い速度でエッチングすべく調製されている方法。
  18. 請求項16または17に記載の方法において、前記頂部は前記底部より異方性が高い方法。
  19. 請求項16ないし18のいずれかに記載の方法において、前記上に横たわる導電層は前記下に横たわる導電層との間に配置されるバリア層を含み、前記第1のエッチ・レシピ及び第2のエッチ・レシピとは異なる第3のエッチ・レシピを使用することによって、前記バリア層を貫いてエッチングする工程をさらに含む方法。
  20. 請求項16ないし19のいずれかに記載の方法において、前記上に横たわる導電層の前記残りの厚さを貫いてエッチングした後、オーバーエッチを実施する工程を含む方法。
JP54071698A 1997-03-19 1998-03-17 導電層をエッチングする方法及び集積回路 Expired - Lifetime JP4451934B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/820,533 US5849641A (en) 1997-03-19 1997-03-19 Methods and apparatus for etching a conductive layer to improve yield
US08/820,533 1997-03-19
PCT/US1998/005202 WO1998042020A1 (en) 1997-03-19 1998-03-17 Method for etching a conductive layer

Publications (3)

Publication Number Publication Date
JP2001517367A JP2001517367A (ja) 2001-10-02
JP2001517367A5 JP2001517367A5 (ja) 2005-11-10
JP4451934B2 true JP4451934B2 (ja) 2010-04-14

Family

ID=25231067

Family Applications (1)

Application Number Title Priority Date Filing Date
JP54071698A Expired - Lifetime JP4451934B2 (ja) 1997-03-19 1998-03-17 導電層をエッチングする方法及び集積回路

Country Status (8)

Country Link
US (1) US5849641A (ja)
EP (1) EP1010203B1 (ja)
JP (1) JP4451934B2 (ja)
KR (1) KR100493486B1 (ja)
AT (1) ATE252275T1 (ja)
DE (1) DE69819023T2 (ja)
TW (1) TW468226B (ja)
WO (1) WO1998042020A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100307986B1 (ko) * 1997-08-28 2002-05-09 가네꼬 히사시 반도체장치의제조방법
KR100257080B1 (ko) * 1997-09-26 2000-05-15 김영환 반도체소자의제조방법
US6077762A (en) * 1997-12-22 2000-06-20 Vlsi Technology, Inc. Method and apparatus for rapidly discharging plasma etched interconnect structures
JP3819576B2 (ja) * 1997-12-25 2006-09-13 沖電気工業株式会社 半導体装置及びその製造方法
US5939335A (en) 1998-01-06 1999-08-17 International Business Machines Corporation Method for reducing stress in the metallization of an integrated circuit
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6248252B1 (en) * 1999-02-24 2001-06-19 Advanced Micro Devices, Inc. Method of fabricating sub-micron metal lines
TW573369B (en) * 1999-03-31 2004-01-21 Lam Res Corp Improved techniques for etching an aluminum neodymium-containing layer
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6387820B1 (en) * 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
DE10149736C1 (de) * 2001-10-09 2003-04-17 Infineon Technologies Ag Verfahren zum Ätzen eines Metallschichtsystems
US7244671B2 (en) * 2003-07-25 2007-07-17 Unitive International Limited Methods of forming conductive structures including titanium-tungsten base layers and related structures
KR100831572B1 (ko) * 2005-12-29 2008-05-21 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
JP2007214171A (ja) * 2006-02-07 2007-08-23 Hitachi High-Technologies Corp エッチング処理方法
US20100003828A1 (en) * 2007-11-28 2010-01-07 Guowen Ding Methods for adjusting critical dimension uniformity in an etch process with a highly concentrated unsaturated hydrocarbon gas
US8409937B2 (en) * 2011-01-07 2013-04-02 Eastman Kodak Company Producing transistor including multi-layer reentrant profile
CN106158724B (zh) * 2015-03-24 2019-03-12 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2312114A1 (fr) * 1975-05-22 1976-12-17 Ibm Attaque de materiaux par ions reactifs
US3994793A (en) * 1975-05-22 1976-11-30 International Business Machines Corporation Reactive ion etching of aluminum
DE3071299D1 (en) * 1979-07-31 1986-01-30 Fujitsu Ltd Dry etching of metal film
US4373990A (en) * 1981-01-08 1983-02-15 Bell Telephone Laboratories, Incorporated Dry etching aluminum
JPS57170534A (en) * 1981-04-15 1982-10-20 Hitachi Ltd Dry etching method for aluminum and aluminum alloy
US4370196A (en) * 1982-03-25 1983-01-25 Rca Corporation Anisotropic etching of aluminum
JPS63238288A (ja) * 1987-03-27 1988-10-04 Fujitsu Ltd ドライエツチング方法
US4838992A (en) * 1987-05-27 1989-06-13 Northern Telecom Limited Method of etching aluminum alloys in semi-conductor wafers
JPS6432633A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Taper etching method
NL8902744A (nl) * 1989-11-07 1991-06-03 Koninkl Philips Electronics Nv Werkwijze voor het aanbrengen van sporen uit aluminium of een aluminiumlegering op een substraat.
DE3940083A1 (de) * 1989-12-04 1991-06-13 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnen in integrierten halbleiterschaltungen
JP2673380B2 (ja) * 1990-02-20 1997-11-05 三菱電機株式会社 プラズマエッチングの方法
JP3170791B2 (ja) * 1990-09-11 2001-05-28 ソニー株式会社 Al系材料膜のエッチング方法
US5211804A (en) * 1990-10-16 1993-05-18 Oki Electric Industry, Co., Ltd. Method for dry etching
US5185058A (en) * 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
DE4107006A1 (de) * 1991-03-05 1992-09-10 Siemens Ag Verfahren zum anisotropen trockenaetzen von aluminium bzw. aluminiumlegierungen enthaltenden leiterbahnebenen in integrierten halbleiterschaltungen
US5126008A (en) * 1991-05-03 1992-06-30 Applied Materials, Inc. Corrosion-free aluminum etching process for fabricating an integrated circuit structure
EP0535540A3 (en) * 1991-10-02 1994-10-19 Siemens Ag Etching process for aluminium-containing coatings
DE4136178A1 (de) * 1991-11-02 1993-05-06 Deutsche Thomson-Brandt Gmbh, 7730 Villingen-Schwenningen, De Schaltung zur kontinuierlichen zoom-einstellung der bildbreite in einem fernsehempfaenger
JPH06108272A (ja) * 1992-09-30 1994-04-19 Sumitomo Metal Ind Ltd プラズマエッチング方法
JP3449741B2 (ja) * 1992-11-26 2003-09-22 東京エレクトロン株式会社 プラズマエッチング方法
US5387556A (en) * 1993-02-24 1995-02-07 Applied Materials, Inc. Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2
JP3317582B2 (ja) * 1994-06-01 2002-08-26 菱電セミコンダクタシステムエンジニアリング株式会社 微細パターンの形成方法
US5783101A (en) * 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma

Also Published As

Publication number Publication date
US5849641A (en) 1998-12-15
EP1010203B1 (en) 2003-10-15
JP2001517367A (ja) 2001-10-02
EP1010203A1 (en) 2000-06-21
WO1998042020A1 (en) 1998-09-24
KR20000076337A (ko) 2000-12-26
DE69819023D1 (de) 2003-11-20
TW468226B (en) 2001-12-11
DE69819023T2 (de) 2004-04-22
ATE252275T1 (de) 2003-11-15
KR100493486B1 (ko) 2005-06-03

Similar Documents

Publication Publication Date Title
KR100491199B1 (ko) 반도체 웨이퍼 에칭에 의한 집적 회로
JP4454148B2 (ja) 改良式の酸化層エッチング方法
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
TW546737B (en) Method of plasma etching organic antireflective coating
US6399511B2 (en) Plasma etch process in a single inter-level dielectric etch
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
US20060043066A1 (en) Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US20070224803A1 (en) Methods for etching a dielectric barrier layer with high selectivity
US5772906A (en) Mechanism for uniform etching by minimizing effects of etch rate loading
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
JP2002500442A (ja) 半導体デバイスのためのセルフアライメントコンタクト
US6642143B2 (en) Method of producing semiconductor device
JP2002520848A (ja) 2ステップ自己整合コンタクトエッチング
US6787475B2 (en) Flash step preparatory to dielectric etch
JP5058406B2 (ja) 半導体装置の製造方法
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
JP4577328B2 (ja) 半導体装置の製造方法
JP2001250817A (ja) ドライエッチング方法及び半導体装置の製造方法
US6756315B1 (en) Method of forming contact openings
JP2003163205A (ja) 酸化膜エッチング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050315

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050315

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081028

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090414

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090710

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090818

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100105

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100129

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130205

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140205

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term