JP4454148B2 - 改良式の酸化層エッチング方法 - Google Patents

改良式の酸化層エッチング方法 Download PDF

Info

Publication number
JP4454148B2
JP4454148B2 JP2000525913A JP2000525913A JP4454148B2 JP 4454148 B2 JP4454148 B2 JP 4454148B2 JP 2000525913 A JP2000525913 A JP 2000525913A JP 2000525913 A JP2000525913 A JP 2000525913A JP 4454148 B2 JP4454148 B2 JP 4454148B2
Authority
JP
Japan
Prior art keywords
layer
substrate
plasma processing
chf
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000525913A
Other languages
English (en)
Other versions
JP2001527288A (ja
Inventor
ブイ−ル・ジャオ・クウィン
アリマ・ジョン・ワイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2001527288A publication Critical patent/JP2001527288A/ja
Application granted granted Critical
Publication of JP4454148B2 publication Critical patent/JP4454148B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Description

【0001】
【発明の属する技術分野】
本発明は、半導体集積回路(IC)および平面ディスプレイの製造に関する。特に本発明は、半導体デバイス製造時に基板の二酸化珪素含有層をエッチングするための改良型の方法および装置に関する。
【0002】
【従来の技術】
半導体デバイスの製造において、基板(すなわち、ガラスパネルまたは半導体ウエハ)上に必要な構造を形成するために、異なる層を積層、パターン化、およびエッチングする場合がある。いくつかの半導体デバイスでは、上層の酸化珪素(シリコン)含有層をエッチングする際のエッチング止め層としてまたは反射防止膜(ARC)層として、窒化チタン(TiN)がしばしば用いられる。例えば、PETEOS(プラズマ増強テトラエチルオルト珪酸塩)、BSG(硼素ドープ珪酸塩ガラス)、USG(非ドープ珪酸塩ガラス)、BPSG(ホウ素燐珪酸塩ガラス)等の二酸化珪素含有層の下でTiN層を用いる場合には、TiN材料は、二酸化珪素含有層を通しての通過エッチングの際、エッチング止めになりうる。その後は、TiN材料は、続いて積層されるタングステンまたはアルミニウムプラグおよび下の金属層(例えば銅またはアルミニウム)の間の障壁または粘着材料になりうる。
【0003】
説明しやすいように、ある基板のいくつかの代表的な層の断面を図1に示す。本明細書における図に関しては、図示された複数の層の上、下、または間に、他の複数の層が存在する場合がある(存在可能である)ことに注意されたい。さらに、示されたすべての層が必ずしも存在する必要はなく、いくつかまたはすべてが他の異なる層に置き換えられてもよい。図1には、下層102が示されており、基板上のTiN層の下に存在する1以上の層を表している。例えば、下層102は、基板自体または、累積的に積層されTiN層104の積層の前にエッチングされた複数の層を表す。図には、TiN層104が、下層102と次に積層される二酸化珪素含有層106の間に設けられているのが示されている。図1の複数の層は、見やすいように実際の大きさでは示されてはおらず、TiN層104は二酸化珪素含有層106より、一般にずっと薄い。
【0004】
いくつかの場合には、TiN層104まで完全にエッチングするのではなく、二酸化珪素含有層106とTiN層104の界面まで二酸化珪素含有層106をエッチングするのが望ましいことがしばしばある。これらの場合には、TiN層104は、エッチング止め層として機能する。すなわち、TiN層104がエッチングされる前にエッチングを止めるのが望ましい。しかし、半導体デバイス密度が時とともに増加すると、従来のエッチング技術では、下層のTiN層104に損傷を与えることなく、二酸化珪素含有層106だけを、エッチングすることは益々困難になる。最新の高密度半導体デバイスでは、TiN層は一般にかなり薄いからである。何故なら、高密度デバイスの製造にとっては、薄いTiN層ほど伝導性が高いからである。
【0005】
従来の技術では、酸化層(すなわち二酸化珪素含有層)のエッチングはCXFY法(例えばCF4 、C26、等)を用いて一般に行なわれた。CXY法は、酸化層を速くエッチングするので、最初に選ばれた。例えば、従来のCXY法は、毎分約2,000オングストローム以上の速度で酸化層をエッチングするのが一般的である。残念ながら、CXY法は、TiNに対しては比較的低い選択性しか有していない。すなわち、従来のCXY法は、TiN材料もまた、相対的にかなりの速度でエッチングしてしまう。例えば、CXY法の「酸化物:TiN選択性」は、一般に7:1ないし10:1である(すなわち、CXYは、TiN材料をエッチングするよりも、07ないし10倍速く酸化層をエッチングする)。
【0006】
図2に、二酸化珪素含有層106を通して、溝108がエッチングされているのを示す。TiN層104は、エッチング止め層として意図されており、酸化物のエッチングが下層102まで進む前に酸化物のエッチングを止めなければならない。にもかかわらず、従来技術では、TiN選択性は低く、非常に薄くて半導体デバイスを互いに接近して積層している図2のTiN層の場合、溝108の下まで完全にエッチングされてしまう。TiN層を不用意にエッチングすると、溝108の底が、次のプロセスに不具合な形状になってしまい、例えば、複数の層が非所望の配置になってしまい、製造したデバイスをだめにしてしまうことがある。さらに、溝の底にTiN障壁材がないと、製造したデバイスにおけるイオンの漏出および/または意図しない電気的特性の発現を招致しかねない。一般的には、TiN層104は、酸化物をエッチングしている間または酸化物を過エッチングしている間は、エッチングしてよい。
【0007】
【発明が解決しようとする課題】
従来のCXY法の低い「酸化物:TiN選択性」は、多段階酸化物層のエッチングの間にも重大な問題を引き起こす。説明し易くするために、図3に、多段階酸化物層302を含む多段階酸化物構造300を示す。説明のために、多段階酸化物層302は、厚い領域304と薄い領域306を含む。しかし、種々の厚さの他の領域が、多段階酸化物層302内に存在しても構わない。多段階酸化物層302をTiN層104の上に設ける。これは多段階酸化物層302のエッチングの間、エッチング止めとして機能するよう意図されている。説明の一貫性を守るために、下層102もまたTiN層104の下の方に示した。
【0008】
多段階酸化物層302の厚い領域304と薄い領域306に、同時に通路を作ることがある。この場合、薄い領域306は厚い領域304より薄いので、薄い領域306での通路のエッチングは厚い領域304の酸化物材料が完全にエッチングされる前に完了するであろう。もし酸化物のエッチングを、厚い領域304の通路のエッチングが完了するまで継続すれば、従来のCXY法の(酸化物:TiN)選択性は低いから、薄い領域306の通路の下のTiN材料をエッチングしてしまうかも知れない。
【0009】
他方、もし薄い領域306の通路の下部のTiN材料への損傷を防ぐために酸化物エッチング工程を短縮すれば、多段階酸化物層302の厚い領域304を通る通路は完全にはエッチングされないであろう。明らかに、従来法の低い「酸化物:TiN選択性」は、最新の高密度ICの多段階酸化物層をエッチングする際に重大な問題を引き起こす。
【0010】
【発明の概要】
前記の通り、半導体デバイスの製造における酸化物層を通したエッチング方法には、改良が望まれていた。この改良法は、高い「酸化物:TiN選択性」を提供し、酸化物のエッチングの際の下のTiN層への損傷を相当少なくすることが期待される。
【0011】
本発明は、一実施形態では、プラズマ処理室での基板のエッチング方法に関する。この基板は、TiN層の上に設けられた二酸化シリコン含有層を有する。この方法は、プラズマ処理室内に基板を入れる工程を含む。CO、CHF3 、ネオン、およびN2 を含むエッチング剤ソースガスをプラズマ処理室に流し込む過程も含む。さらに、プラズマ処理室内のエッチング剤ソースガスからプラズマを生成する過程も含む。
【0012】
別の実施形態では、本発明は、プラズマ処理室の中で多段階二酸化珪素含有層をエッチングする間、下のTiN層への損傷を防止する方法に関する。多段階二酸化珪素含有層を基板上のTiN層の上に設ける。多段階二酸化珪素含有層には、薄い領域と厚い領域がある。この方法は、多段階二酸化珪素含有層の上に、フォトレジストマスクを形成する過程を含む。フォトレジストマスクは、薄い領域上の第一の開口部と厚い領域上の第二の開口部を有する。
【0013】
さらに、この方法は、プラズマ処理室の中に、フォトレジストマスク等の基板を設ける過程を含む。プラズマ処理室の中に、CO、CHF3 、ネオン、およびN2 を含むエッチング剤ソースガスを流し込むこ過程も含む。また、プラズマ処理室の中の電極に、電力を供給し、エッチング剤ソースガスからプラズマを生成し、それによって第一の開口部と第二の開口部を通して、多段階二酸化珪素含有層のエッチングを行なう過程を含む。エッチングの間、CO、CHF3 、ネオン、およびN2 の各流量は、多段階二酸化珪素含有層を、多段階二酸化珪素含有層の薄い領域の下のTiN層に損傷を与えることなく、厚い層を通して、完全にエッチングするように構成する。
【0014】
さらに、もう一つの実施形態では、本発明は、集積回路の形成法に関する。この方法は、TiN層の上に設けられた二酸化珪素含有層を有する半導体ウエハを提供する。プラズマ処理室の中で半導体ウエハを入れる工程も含む。また、プラズマ処理室の中に、CO、CHF3 、ネオン、およびN2 を含むエッチング剤ソースガスを流し込む過程も含む。さらに、プラズマ処理室の中で、エッチング剤ソースガスからプラズマを生成し、二酸化珪素含有層のエッチングを行なう過程も含む。
【0015】
本発明のこれらの、および他の特徴を、以下、さらに詳しく、本発明の詳細な説明において、以下、図面を参照しながら説明する。
【0016】
【発明の実施の形態】
以下、本発明を例示的且つ非限定的に添付図面に基づき説明する。図中、同一符号は、同一要素を示す。
【0017】
本発明を、添付の図面で説明されたいくつかの望ましい実施形態を挙げながら、詳細に説明する。以下の説明では、本発明の完全な理解を求めるために、多くの詳細事項が提供される。しかし、当技術に精通する者にとっては、これらの詳細事項のいくつかまたはすべてがなくても、本発明を実施できることは明かである。他の例では、公知のプロセス段階および/または構造を詳細には述べていないが、必ずしも本発明を不鮮明にするためではない。
【0018】
本発明の一形態において、上記のTiN損傷問題は、酸化物層(すなわち二酸化珪素含有層)を、プラズマ処理システムで、CO、CHF3 、ネオン、およびN2 を含む新規な方法でエッチングすることによって、ほとんど解消される。好適な実施形態では、本発明の方法は、カリフォルニア州フレモントのラムリサーチ社から入手可能なLam9500(商標)プラズマ処理システムのような三極素子型プラズマ処理システムで、酸化物層を通してエッチングするために使用される。しかし、本発明のCO/CHF3 /ネオン/N2 法を用いる酸化物エッチング技術は、ドライエッチング、プラズマエッチング、反応性イオンエッチング、磁気増強反応性イオンエッチング、電子サイクロトロン共鳴エッチング等に適した既知のプラズマ処理装置のどれでも実施できるし、これらにも限定されない。プラズマのエネルギが、容量結合平行電極板を通して、ECRマイクロ波プラズマ源を通して、またはヘリコン、螺旋状振動子、トランス結合源(平面状または非平面状)のような誘導結合RF源を通して送達されるかどうかに係わりなく正しいことに注意されたい。これらの処理システムは、上記のラムリサーチ社(Lam Research Corp.)他の多くの業者から容易に購入できる。
【0019】
説明を容易にするために、図4に、本発明のCO/CHF3 /ネオン/N2 酸化物エッチング技術を用いるのに適したプラズマ処理システムを代表して、三極素子型プラズマ処理システム402を示す。図4では、三極素子型プラズマ処理システム402は、箱体であるチャンバ404を含む。チャンバ404の中には、上部電極406と下部電極408を設ける。図4に示した実施形態において、上部電極406は、組み合わせ電極/ガス分配板機構を表し、それによって、管接続口410を通して流入するエッチング剤ソースガスは、開口412を通して、チャンバ404の中に分配される。
【0020】
下部電極408の上には、基板414が配置されている。基板としては、例えば、その上にエッチングすべき酸化物層を有するガラスパネルまたは半導体ウエハが代表的なものである。上部電極406および下部電極408は、RF電源420によって電力を供給される。RF電源420は、適当なマッチングおよび/または容量的ブロッキングネットワーク(従来のものであり、説明を簡単にするために示していない)経由で電極に無線周波数(RF)電力を提供する。一つの実施形態では、RF電源420の周波数は、約13.56 MHzである。しかし他の適当なRF周波数も使用可能である。
【0021】
上部電極406と基板414の間には、接地された陽極422が設けられている。図4の実施形態では、陽極422は、接地された中空の陽極である。すなわち、その中に複数の開口または絞りを有する接地された格子である。プラズマエッチングが行なわれる間、接地された陽極422は、基板414の表面上にイオンを均一に分配することによって、基板414上のエッチングの均一性を改善するのを助ける。
【0022】
酸化物エッチングの準備をするために、TiN層の上に設けられた酸化物層を有する基板414を、チャンバ404に持ち込み、下部電極408の上部に載せる。次に、本発明のCO/CHF3 /ネオン/N2 エッチング剤ソースガスを管接続口410経由で流す。RF電力を上部電極406と下部電極408にかけると、上部電極406と接地された陽極422の間に遠隔プラズマ雲が形成され、接地された陽極422と基板414の間の領域内に、反応性イオンエッチング(RIE)プラズマ雲が発火し、基板414の暴露表面をエッチングする。この反応は、揮発性の副生成物を作り、それらは排気口450を通して排出される。所定の時間が経過した後、または(光学的波長モニタのような)適当なモニタ装置が、酸化物材料がエッチングされたことを検出した時、酸化物エッチング工程が完了する。
【0023】
理論的に明確になっている訳ではないが、TiN表面におけるチタニウム酸化物の生成が、本発明のCO/CHF3 /ネオン/N2 を用いた酸化物エッチングにおいて、高い「酸化物:TiN選択性」に寄与していると考えられる。COがTiNと反応する時、チタニウム酸化物が生成すると考えられる。この反応に代えて、またはこの反応に加えて、CHF3 、CO2 、COF2 、およびSiF4 が、CF2 、CFX 、およびCHFX ポリマを作り出すと考えられる。生成したポリマのいくつか(フッ化炭素またはフッ化炭化水素と考えられる)は、酸化物をエッチングする際に、下のTiN層のエッチングを阻止する可能性がある。そのようにブロックされることで、TiNの浸食が、相当程度遅れる。あるいはまたはさらに、酸化物材料が通路の中で取り除かれ、TiN材料が反応種に曝されると、チタンが通路の側面にスパッタされ、ポリマの生成を触媒し、TiNのエッチングを阻止すると考えられる。あるいはまたはさらに、ネオンがRIE遅れに重要な役割を果たしていると考えられる(すなわち、基板の開いた領域でのエッチング速度と狭い領域でのエッチング速度が異なるのを抑制する)。N2 は、ポリマの残渣を除去するのを促進すると考えられ、またRIE遅れの制御で重要な役割を果たしていると考えられる。
【0024】
実施例:
一つの実施例として、上に600オングストロームの厚みのTiN層と、7,000オングストロームの厚みおよび14,000オングストロームの厚みの多段階PETEOS層を有する8インチのウエハを上記のLam6500(商標)プラズマ処理システムに置く。表1に、試料ウエハ上の酸化物層を通してエッチングする際に、酸化物の主エッチング段階で使用するおおよそのパラメーターを示す。
【0025】
【表1】
Figure 0004454148
【0026】
表2に、試料ウエハ上の酸化物層を通してエッチングする間、酸化物過エッチング段階で使用する、おおよそのパラメーターを示す。
【0027】
【表2】
Figure 0004454148
【0028】
図5は、本発明の一つの実施形態により、TiN層の上に設けられた酸化物層を上部に有する基板をエッチングする際の工程を示す。工程502では、TiN層の上に設けられた酸化物層を上部に有する基板が準備され、プラズマ処理室内に入れられる。工程504では、酸化物層が、本発明のCO/CHF3 /ネオン/N2 ガスを用いてエッチングされる。一つの実施形態では、工程504で使用されるパラメータは、表1および2に開示されるパラメータと、ほとんど同じである。しかし、開示されているパラメータは、エッチングしようとする特定の基板の大きさ、特定の酸化物層(組成および厚さの両方で)、特定のTiN層(組成および厚さの両方で)および/または特定のプラズマ処理システムの必要条件に適合させるために最適化しおよび/または変更することができる。
【0029】
工程506で、基板は、従来から知られたエッチングの後処理工程に送ること。こうしてエッチングの完了した基板は、次に、ICチップを作るために型抜き機に送ったり、あるいは、平面パネルディスプレイに加工することができる。次に、得られるICチップまたは平面パネルディスプレイは、電子装置、すなわち、デジタルコンピューターのような公知の商用または消費者用の電子装置のいずれかに組み立てることができる。
【0030】
本発明のCO/CHF3 /ネオン/N2 酸化物エッチング法は、実施した実験では、従来のCXY法に比べて、高い「酸化物:TiN選択性」という優位性を与える。走査型電子顕微鏡(SEM)像は、「酸化物:TiN選択性」が、約50:1より大きく、場合によっては約60:1を越える場合もあることを明らかにしている。これは、従来のCXYガスを用いた時に観察された典型的な7:1ないし10:1という酸化物:TiN選択性と比べると大幅な改善である。
【0031】
さらに、SEM像の解析の結果、適当なエッチングプロファイル、きわどい寸法(CD)制御、RIE遅れ、フォトレジストに対する選択性、および/または残渣制御といった点で妥協することなく、高い「酸化物:TiN選択性」を達成していることが明かになった。本技術に精通する者であれば直ちに評価できるが、酸化物含有層の下のTiN層は、高い「酸化物:TiN選択性」のために、酸化物エッチング工程において、従来より明らかに保護されている。さらに、高い「酸化物:TiN選択性」は、酸化物エッチング工程において、高度な過エッチングを可能にする点でも有効である。広範囲に過エッチングを行なう能力は、多段階酸化物層をエッチングする際に有利である。何故なら、それは、TiN材料を、薄い酸化物領域内に保持する一方、多段階酸化物層の厚い領域でも、酸化物材料を完全にエッチング可能とするからである。
【0032】
以上、本発明をいくつかの好適な実施形態に基づき説明してきたが、本発明の範囲内には、種々の変形、並べ替え、および等価物が存在する。本発明の方法および装置を実現する別の方法が多く存在することにも注意すべきである。従って、前記特許請求の範囲は、本発明の真の精神および範囲内にあるようなすべての変形、並べ替え、および等価物を含むものと解釈されるべきである。
【図面の簡単な説明】
【図1】二酸化珪素含有層および下側のTiN層を含む基板の、いくつかの典型的な層の断面を示した説明図である。
【図2】図1の二酸化珪素含有層を通してエッチングされている溝を示した説明図である。
【図3】多段階酸化物層を含む多段階酸化物構造を示した説明図である。
【図4】本発明のCO/CHF3/ネオン/N2酸化物エッチング法で使用するのに適したプラズマ処理システムを代表する三極素子型プラズマ処理システムを示した説明図である。
【図5】本発明の実施形態によるTiN層上に酸化物層を設けた基板へのエッチングに含まれる工程を示した工程図である。

Claims (17)

  1. 窒化チタン(TiN)層の上側に二酸化珪素含有層を設けた基板を、プラズマ処理室内でエッチングする方法であって、
    前記プラズマ処理室内に前記基板を位置決めする工程と、
    CO、CHF3 、ネオン及びN2 を含むエッチング剤ソースガスを前記プラズマ処理室内に流す工程と、
    前記プラズマ処理室内で前記エッチング剤ソースガスからプラズマを生成することにより、前記二酸化珪素含有層をエッチングする工程と
    を備え
    前記エッチング剤ソースガスが実質的にCO、CHF 3 、ネオン及びN 2 から成り、
    前記CHF 3 の前記ネオンに対する流量比が約0.2ないし0.3である
    エッチング方法。
  2. 前記基板が半導体ウエハである請求項1記載の方法。
  3. 前記基板がガラスパネルである請求項1記載の方法。
  4. 前記二酸化珪素含有層がテトラエチルオルト珪酸塩(TEOS)層である請求項1記載の方法。
  5. 前記CHF3 の前記COに対する流量比が約1.1ないし1.8である請求項1記載の方法。
  6. 前記CHF3 の前記N2に対する流量比が約1.5ないし2.0である請求項1記載の方法。
  7. 前記プラズマ処理室が、接地された中空の陽極を内部に有する三極素子型プラズマ処理室である請求項1記載の方法。
  8. 前記基板が集積回路(IC)を形成するための基板である請求項1記載の方法。
  9. 基板上の前記窒化チタン(TiN)層の上側に設けられると共に薄い領域と厚い領域とを含む多段階二酸化珪素含有層をプラズマ処理室内でエッチングする際に下側の窒化チタン(TiN)層への損傷を避ける方法であって、
    前記多段階二酸化珪素含有層の上側に、前記薄い領域上に第一の開口部を前記厚い領域上に第二の開口部を有するフォトレジストマスクを形成する工程と、
    前記フォトレジストマスクを含む前記基板を前記プラズマ処理室内に配置する工程と、
    CO、CHF3 、ネオン及びN2 を含むエッチング剤ソースガスを前記プラズマ処理室内に流す工程と、
    前記プラズマ処理室の電極に電力を供給して前記エッチング剤ソースガスからプラズマを生成することにより、前記第一の開口部と前記第二の開口部を通して前記多段階二酸化珪素含有層のエッチングを行なう工程にして、前記多段階二酸化珪素含有層の前記薄い領域の下側の前記窒化チタン(TiN)層に損傷を与えることなく前記厚い領域を通して前記多段階二酸化珪素含有層が完全にエッチングされるように前記CO、CHF3 、ネオン及びN2 の流量を調節する工程と、
    を備え
    前記エッチング剤ソースガスが、実質的にCO、CHF 3 、ネオン及びN 2 から成り、
    前記CHF 3 の前記ネオンに対する流量比が約0.1ないし0.2である
    エッチング方法。
  10. 前記CHF3 の前記COに対する流量比が約0.4ないし0.6である請求項9記載の方法。
  11. 前記CHF3 の前記N2 に対する流量比が0.8ないし1.4である請求項9記載の方法。
  12. 前記プラズマ処理室が、接地された中空の陽極を内部に有する三極素子型プラズマ処理室である請求項9記載の方法。
  13. 前記基板が半導体ウエハである請求項9記載の方法。
  14. 前記二酸化珪素含有層がテトラエチルオルト珪酸塩(TEOS)である請求項9記載の方法。
  15. 前記基板が集積回路(IC)を形成するための基板である請求項9記載の方法。
  16. 前記基板が平面パネルディスプレイを形成するための基板である請求項9記載の方法。
  17. 集積回路の形成方法であって、
    窒化チタン(TiN)層の上側に二酸化珪素含有層を設けた半導体ウエハを用意する工程と、
    前記半導体ウエハをプラズマ処理室内に位置決めする工程と、
    CO、CHF3 、ネオン及びN2 を含むエッチング剤源ガスを前記プラズマ処理室内に流す工程と、
    前記プラズマ処理室の中でエッチング剤ソースガスからプラズマを生成して前記二酸化珪素含有層のエッチングを行なう工程と
    を備え
    前記エッチング剤ソースガスが実質的にCO、CHF 3 、ネオン及びN 2 から成り、
    前記CHF 3 の前記ネオンに対する流量比が約0.2ないし0.3である
    集積回路の形成方法。
JP2000525913A 1997-12-22 1998-12-11 改良式の酸化層エッチング方法 Expired - Fee Related JP4454148B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/996,071 US6083844A (en) 1997-12-22 1997-12-22 Techniques for etching an oxide layer
US08/996,071 1997-12-22
PCT/US1998/026499 WO1999033097A1 (en) 1997-12-22 1998-12-11 Improved techniques for etching an oxide layer

Publications (2)

Publication Number Publication Date
JP2001527288A JP2001527288A (ja) 2001-12-25
JP4454148B2 true JP4454148B2 (ja) 2010-04-21

Family

ID=25542474

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000525913A Expired - Fee Related JP4454148B2 (ja) 1997-12-22 1998-12-11 改良式の酸化層エッチング方法

Country Status (7)

Country Link
US (1) US6083844A (ja)
EP (1) EP1042796B1 (ja)
JP (1) JP4454148B2 (ja)
AT (1) ATE331298T1 (ja)
DE (1) DE69835032T2 (ja)
TW (1) TW446757B (ja)
WO (1) WO1999033097A1 (ja)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW406363B (en) * 1998-11-27 2000-09-21 United Microelectronics Corp The method of forming the opening
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6335292B1 (en) * 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
US6486070B1 (en) 2000-09-21 2002-11-26 Lam Research Corporation Ultra-high oxide to photoresist selective etch of high-aspect-ratio openings in a low-pressure, high-density plasma
US6914004B2 (en) * 2001-09-28 2005-07-05 Texas Instruments Incorporated Method for via etching in organo-silica-glass
DE10340147B4 (de) 2002-08-27 2014-04-10 Kyocera Corp. Trockenätzverfahren und Trockenätzvorrichtung
US7556741B2 (en) 2002-08-28 2009-07-07 Kyocera Corporation Method for producing a solar cell
US6949469B1 (en) 2003-12-16 2005-09-27 Lam Research Corporation Methods and apparatus for the optimization of photo resist etching in a plasma processing system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3146561B2 (ja) * 1991-06-24 2001-03-19 株式会社デンソー 半導体装置の製造方法
JPH0513593A (ja) * 1991-07-08 1993-01-22 Sanyo Electric Co Ltd 半導体装置の製造方法
US5658425A (en) * 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5698070A (en) * 1991-12-13 1997-12-16 Tokyo Electron Limited Method of etching film formed on semiconductor wafer
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5596431A (en) * 1995-03-29 1997-01-21 Philips Electronics North America Corp. Plasma addressed liquid crystal display with etched electrodes
JP3753194B2 (ja) * 1995-12-14 2006-03-08 セイコーエプソン株式会社 プラズマ処理方法及びその装置
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5817579A (en) * 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact

Also Published As

Publication number Publication date
WO1999033097A1 (en) 1999-07-01
US6083844A (en) 2000-07-04
JP2001527288A (ja) 2001-12-25
DE69835032D1 (de) 2006-08-03
DE69835032T2 (de) 2007-06-06
EP1042796A1 (en) 2000-10-11
ATE331298T1 (de) 2006-07-15
TW446757B (en) 2001-07-21
EP1042796B1 (en) 2006-06-21

Similar Documents

Publication Publication Date Title
JP4454148B2 (ja) 改良式の酸化層エッチング方法
TW546737B (en) Method of plasma etching organic antireflective coating
US7977390B2 (en) Method for plasma etching performance enhancement
US6004884A (en) Methods and apparatus for etching semiconductor wafers
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
US7078350B2 (en) Methods for the optimization of substrate etching in a plasma processing system
US6235640B1 (en) Techniques for forming contact holes through to a silicon layer of a substrate
US5772906A (en) Mechanism for uniform etching by minimizing effects of etch rate loading
US7244313B1 (en) Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
TW529105B (en) Etching method of organic based insulating film and dual damascene process
JP3213803B2 (ja) 高密度プラズマエッチング装置を用いた半導体のスロープコンタクトホール形成方法
TW511163B (en) Manufacturing method of semiconductor device
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
JP2004111779A (ja) 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
US6787475B2 (en) Flash step preparatory to dielectric etch
US6860275B2 (en) Post etching treatment process for high density oxide etcher
US5968278A (en) High aspect ratio contact
US5908320A (en) High selectivity BPSG:TiSi2 contact etch process
JP4577328B2 (ja) 半導体装置の製造方法
JP2001250817A (ja) ドライエッチング方法及び半導体装置の製造方法
JP2003163205A (ja) 酸化膜エッチング方法
US6979579B1 (en) Methods and apparatus for inspecting contact openings in a plasma processing system
JP2000223478A (ja) 接続孔の開口方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090106

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090403

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090410

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090706

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100105

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100202

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130212

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees