DE69835032T2 - Verbesserte methode eine oxidschicht zu ätzen - Google Patents

Verbesserte methode eine oxidschicht zu ätzen Download PDF

Info

Publication number
DE69835032T2
DE69835032T2 DE69835032T DE69835032T DE69835032T2 DE 69835032 T2 DE69835032 T2 DE 69835032T2 DE 69835032 T DE69835032 T DE 69835032T DE 69835032 T DE69835032 T DE 69835032T DE 69835032 T2 DE69835032 T2 DE 69835032T2
Authority
DE
Germany
Prior art keywords
layer
substrate
oxide
tin
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69835032T
Other languages
English (en)
Other versions
DE69835032D1 (de
Inventor
Quynh Giao Santa Clara BUI-LE
Y. John Fremont ARIMA
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of DE69835032D1 publication Critical patent/DE69835032D1/de
Application granted granted Critical
Publication of DE69835032T2 publication Critical patent/DE69835032T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Description

  • Die vorliegende Erfindung bezieht sich auf die Herstellung von integrierten Halbleiterschaltungen (ICs) und Flachbildschirmen. Spezieller bezieht sich die vorliegende Erfindung auf verbesserte Verfahren und eine Vorrichtung zum Ätzen durch die Siliziumdioxid-haltige Schicht eines Substrats während der Halbleitervorrichtungsherstellung.
  • Bei der Herstellung von Halbleitervorrichtungen können unterschiedliche Schichten abgeschieden, strukturiert und geätzt werden zum Ausbilden der gewünschten Strukturen auf dem Substrat (d.h. einer Glasscheibe oder einem Halbleiterwafer). In einigen Halbleitervorrichtungen wird oft Titannitrid (TiN) als eine Ätzstoppschicht während des Ätzens einer darüber liegenden Siliziumdioxid-haltigen Schicht oder als eine Schicht eines reflexmindernden Belags (ARC) verwendet. Wenn beispielsweise die TiN-Schicht unter einer Siliziumdioxid-haltigen Schicht verwendet wird, beispielsweise einem PETEOS (plasmagestütztem Tetraethyl-orthosilikat), BSG (Bor dotiertem Silikatglas), USG (undotiertem Silikatglas), BPSG (Bor-Phosphor-Silikatglas) oder dergleichen, kann das TiN-Material als ein Ätzstopp während einer Kontaktlochätzung durch die Siliziumdioxid-haltige Schicht dienen. Sodann kann das TiN-Material als ein Barrieren- oder Klebematerial zwischen den nachfolgend abgeschiedenen Wolfram- oder Aluminiumpfropfen und irgendeiner darunter liegenden Metallschicht (z.B. Kupfer oder Aluminium) dienen.
  • Zur Erleichterung der Diskussion stellt 1 eine Querschnittsansicht einiger beispielhafter Schichten eines Substrates dar. Mit Bezug auf die Figuren hier sollte bemerkt werden, dass weitere zusätzliche Schichten oberhalb, unterhalb oder zwischen den gezeigten Schichten vorhanden sein können. Weiter hin müssen nicht alle der gezeigten Schichten notwendigerweise vorhanden sein und einige oder alle können durch andere unterschiedliche Schichten ersetzt sein. In 1 ist eine darunter liegende Schicht 102 gezeigt, die irgendeine Schicht oder Schichten repräsentiert, welche unter einer TiN-Schicht auf einem Substrat liegen können. Beispielsweise kann die darunter liegende Schicht 102 das Substrat selbst repräsentieren oder nachfolgend abgeschiedene und vor der Abscheidung einer TiN-Schicht 104 geätzte Schichten repräsentieren. Die TiN-Schicht 104 ist zwischen der darunter liegenden Schicht 102 und einer nachfolgend abgeschiedenen Siliziumdioxid-haltigen Schicht 106 angeordnet gezeigt. Obwohl die Schichten von 1 zur Erleichterung der Einfachheit der Darstellung nicht maßstabsgetreu gezeigt sind, ist die TiN-Schicht 104 typischerweise viel dünner als die Siliziumdioxid-haltige Schicht 106.
  • In einigen Fällen ist es oft wünschenswert, durch die Siliziumdioxid-haltige Schicht 106 bis hinunter zu der Grenzfläche zwischen der Siliziumdioxid-haltigen Schicht 106 und der TiN-Schicht 104 zu ätzen, ohne vollständig durch die TiN-Schicht 104 zu ätzen. In diesen Fällen kann die TiN-Schicht 104 als die Ätzstoppschicht fungieren, d.h. es ist wünschenswert, dass die Ätzung anhält, bevor die TiN-Schicht 104 durchgeätzt ist. Da die Dichte der Halbleitervorrichtungen mit der Zeit zunimmt, wird es jedoch unter Verwendung der Ätztechniken des Standes der Technik zunehmend schwieriger, durch die Siliziumdioxidhaltige Schicht 106 zu ätzen, ohne die darunter liegende TiN-Schicht 104 zu beschädigen. Dies liegt daran, dass die TiN-Schicht in modernen Halbleitervorrichtungen hoher Dichte typischerweise ziemlich dünn ist, da eine dünnere TiN-Schicht der Herstellung von Bauelementen mit hoher Dichte zuträglicher ist.
  • Beim Stand der Technik wurde das Ätzen der Oxidschicht (d.h. der Siliziumdioxid-haltigen Schicht) typischerweise unter Verwendung einer CXFY-Chemie (z.B. CF4, C2, F6, CF8 oder dergleichen) bewerkstelligt. Die CXFY-Chemie wurde vorrangig aufgrund ihrer hohen Ätzrate durch die Oxidschicht gewählt. Beispiels weise ätzt die CXFY-Chemie des Standes der Technik typischerweise durch die Oxidschicht mit einer Rate, die größer als ungefähr 2000 Angström pro Minute ist. Unglücklicherweise hat die CXFY-Chemie eine verhältnismäßig niedrige Selektivität gegenüber TiN. Dies bedeutet, die CXFY-Chemie ätzt ebenfalls das TiN-Material mit einer relativ beachtlichen Ätzrate. Die chemische Zusammensetzung CXFY hat beispielsweise typischerweise eine Oxid-zu-TiN-Selektivität in dem Bereich von 7 zu 1 bis 10 zu 1 (d.h. CXFY ätzt durch das Oxidmaterial 7 bis 10-mal so schnell wie es durch das TiN-Material ätzt).
  • In 2 wird ein Graben 108 durch die Siliziumdioxid-haltige Schicht 106 geätzt. Die TiN-Schicht 104 ist als die Ätzstoppschicht vorgesehen und sollte die Oxidätzung angehalten haben, bevor die Oxidätzung zu der darunter liegenden Schicht 102 vorangeschritten ist. Trotzdem verursacht die niedrige TiN-Selektivität der chemischen Zusammensetzung des Standes der Technik, dass die TiN-Schicht 104 von 2, welche zum Ermöglichen des dichten Zusammenpackens der Halbleitervorrichtungen ziemlich dünn ist, unter dem Graben 108 vollständig durchgeätzt ist. Wenn die TiN-Schicht unbeabsichtigt durchgeätzt ist, kann der Boden des Grabens 108 eine unregelmäßige Topologie gegenüber nachfolgenden Prozessen zeigen, was ein Ausfallen der hergestellten Vorrichtungen, aufgrund beispielsweise einer nicht beabsichtigten Fehlausrichtung der Schichten, bewirken kann. Weiterhin kann die Abwesenheit des TiN-Barrierenmaterials an dem Boden des Grabens ein Ionenleck und/oder weitere nicht beabsichtigte elektrische Eigenschaften in den hergestellten Vorrichtungen bewirken. In einer typischen Situation kann die TiN-Schicht 104 entweder während des Haupt-Oxidätzschrittes oder während des Oxid-Überätzschrittes durchgeätzt werden.
  • Die niedrige Oxid-zu-TiN-Selektivität der chemischen Zusammensetzung CXFY des Standes der Technik wirft ebenfalls beachtliche Probleme während der Ätzung von Mehrebenen-Oxidschichten auf. Zur Vereinfachung der Diskussion stellt 3 einen Mehrebenen-Oxidaufbau 300 dar, der eine Mehrebenen-Oxidschicht 302 be inhaltet. Aus Gründen der Veranschaulichung beinhaltet die Mehrebenen-Oxidschicht 302 eine dicke Region 304 und eine dünne Region 306, obwohl andere Regionen mit verschiedenen Dicken ebenfalls innerhalb der Mehrebenen-Oxidschicht 302 vorhanden sein können. Die Mehrebenen-Oxidschicht 302 ist auf der TiN-Schicht 104 angeordnet, bei der beabsichtigt ist, dass sie als ein Ätzstopp während der Ätzung der Mehrebenen-Oxidschicht 302 dient. Aus Gründen der Stetigkeit ist die darunter liegende Schicht 102 ebenfalls unterhalb der TiN-Schicht 104 angeordnet gezeigt.
  • In einigen Fällen kann es wünschenswert sein, gleichzeitig Durchkontaktierungen in der dicken Region 304 und der dünnen Region 306 der Mehrebenen-Oxidschicht 302 zu schaffen. Da die dünne Region 306 dünner ist als die dicke Region 304, kann das Ätzen der Durchkontaktierungslöcher in der dünnen Region 306 abgeschlossen sein bevor das Oxidmaterial in der dicken Region 304 vollständig durchgeätzt ist. Wenn zum Erleichtern der Ätzung des Durchkontaktierungsloches in der dicken Region 304 die Oxidätzung fortschreiten darf, kann die niedrige Oxid-zu-TiN-Selektivität der chemischen Zusammensetzung CXFY des Standes der Technik in unerwünschter Weise innerhalb des Kontaktlochs in der dünnen Region 306 durch das TiN-Material ätzen.
  • Wenn der Oxidätzschritt verkürzt wird zum Verhindern einer Beschädigung des TiN-Materials unterhalb des Kontaktlochs in der dünnen Region 306, kann andererseits das Kontaktloch durch die dicke Region 304 der Mehrebenen-Oxidschicht 302 nicht vollständig durchgeätzt sein. Wie ersichtlich ist, wirft während der Ätzung von Mehrebenen-Oxidschichten von modernen ICs hoher Dichte die niedrige Oxid-zu-TiN-Selektivität der chemischen Zusammensetzung des Standes der Technik ernste Probleme auf.
  • Angesichts des Vorangehenden sind verbesserte Methoden zum Durchätzen der Oxidschicht während der Herstellung von Halbleitervorrichtungen wünschenswert. Die verbesserten Methoden liefern vorzugsweise eine hohe Oxid-zu-TiN-Selektivität zum erheb lichen Verringern der Beschädigung der darunter liegenden TiN-Schicht während der Oxidätzung.
  • Zusammenfassung der Erfindung
  • Die Erfindung bezieht sich in einer Ausführungsform auf ein Verfahren zum Ätzen eines Substrates in einer Plasmabehandlungskammer. Das Substrat hat darauf eine Siliziumdioxidhaltige Schicht, die über einer TiN-Schicht angeordnet ist. Das Verfahren beinhaltet das Anordnen des Substrates in der Plasmabehandlungskammer. Das Einströmenlassen eines ätzenden Ursprungsgases, das aus CO, CHF3, Neon und N2 besteht, in die Plasmabehandlungskammer mit Strömverhältnissen, wie sie in Anspruch 1 definiert sind, ist ebenfalls enthalten. Weiterhin ist das Ausbilden eines Plasmas aus dem ätzenden Ursprungsgas innerhalb der Plasmabehandlungskammer zum Bewirken der Ätzung der Siliziumdioxid-haltigen Schicht enthalten. Die Kammer ist eine Plasmabehandlungskammer vom Triodentyp mit einer geerdeten Hohlanode.
  • Die Erfindung kann während der Ätzung einer Mehrebenen-Siliziumdioxid-haltigen Schicht in der Plasmabehandlungskammer verwendet werden. Die Mehrebenen-Siliziumdioxid-haltige Schicht ist auf einem Substrat über der TiN-Schicht angeordnet. Die Mehrebenen-Siliziumdioxid-haltige Schicht beinhaltet eine dünne Region und eine dicke Region. Dieses Verfahren beinhaltet das Ausbilden einer Photolackmaske über der Mehrebenen-Siliziumdioxid-haltigen Schicht. Die Photolackmaske weist eine erste Kontaktlochöffnung über der dünnen Region und eine zweite Kontaktlochöffnung über der dicken Region auf.
  • Dieses Verfahren beinhaltet weiterhin das Anordnen des Substrates einschließlich der Photolackmaske in der Plasmabehandlungskammer. Ein Einströmenlassen des ätzenden Ursprungsgases, das aus CO, CHF3, Neon und N2 besteht, in die Plasmabehandlungskammer ist ebenfalls enthalten. Zusätzlich ist das Zufüh ren eines Stromes zu den Elektroden der Plasmabehandlungskammer zum Ausbilden eines Plasmas aus dem ätzenden Ursprungsgas enthalten, wodurch eine Ätzung der Mehrebenen-Siliziumdioxidhaltigen Schicht durch die erste Kontaktlochöffnung und die zweite Kontaktlochöffnung bewirkt wird. Während des Ätzens sind die Strömverhältnisse von CO, CHF3, Neon und N2 so festgelegt, dass ein vollständiges Durchätzen der Mehrebenen-Siliziumdioxid-haltigen Schicht in der dicken Region ermöglicht wird, ohne die TiN-Schicht unter der dünnen Region der Mehrebenen-Siliziumdioxid-haltigen Schicht zu beschädigen.
  • Die Erfindung kann ebenfalls für eine integrierte Schaltung verwendet werden.
  • Diese und weitere Merkmale der vorliegenden Erfindung werden in größerem Detail unten in der detaillierten Beschreibung der Erfindung und in Verbindung mit den folgenden Figuren beschrieben.
  • Kurze Beschreibung der Zeichnungen
  • Die vorliegende Erfindung wird in den Figuren der beigefügten Zeichnungen mittels eines Beispiels und nicht mittels einer Einschränkung veranschaulicht, wobei in den Zeichnungen gleiche Bezugszeichen sich auf ähnliche Elemente beziehen, wobei:
  • 1 zur Erleichterung der Diskussion eine Querschnittsansicht einiger beispielhafter Schichten eines Substrats einschließlich der Siliziumdioxid-haltigen Schicht und der darunter liegenden TiN-Schicht zeigt,
  • In 2 ein Graben durch die Siliziumdioxid-haltige Schicht von 1 geätzt wird,
  • 3 eine beispielhafte Mehrebenen-Oxidstruktur einschließlich einer Mehrebenen-Oxidschicht darstellt,
  • 4 ein Plasmabehandlungssystem vom Triodentyp veranschaulicht, dass ein Plasmabehandlungssystem repräsentiert, welches geeignet ist zur Verwendung mit der erfinderischen CO/CHF3/Neon/N2-Oxidätztechnik,
  • 5 entsprechend einer Ausführungsform der vorliegenden Erfindung die mit dem Ätzen eines Substrates mit einer darauf über einer TiN-Schicht angeordneten Oxidschicht verbundenen Schritte veranschaulicht.
  • Detaillierte Beschreibung der bevorzugten Ausführungsformen
  • Die folgende Erfindung wird nun im Detail unter Bezugnahme auf einige bevorzugte Ausführungsformen derselben beschrieben, die in den beigefügten Zeichnungen veranschaulicht sind. In der folgenden Beschreibung werden zahlreiche spezielle Details dargelegt zum Liefern eines gründlichen Verständnisses der vorliegenden Erfindung. Es wird einem Fachmann jedoch ersichtlich sein, dass die vorliegende Erfindung ohne einige oder alle dieser speziellen Details ausgeführt werden kann. In anderen Fällen sind bekannte Prozessschritte und/oder Strukturen nicht im Detail beschrieben, um nicht unnötigerweise die vorliegende Erfindung zu verschleiern.
  • In Übereinstimmung mit einem Aspekt der vorliegenden Erfindung wird die vorstehend erwähnte TiN-Beschädigung im wesentlichen abgemildert durch Ätzen der Oxidschicht (d.h. der Siliziumdioxid-haltigen Schicht) mit einer chemischen Zusammensetzung, die aus CO, CHF3, Neon und N2 besteht, in einem Plasmabehandlungssystem. Die Gasmischung wird verwendet zum Durchätzen der Oxidschicht in einem Plasmabehandlungssystem vom Triodentyp, wie zum Beispiel dem Lam 9500TM-Plasmabehandlungssystem, das von Lam Research Corp. of Fremont, California, erhältlich ist. Die Geräte können für ein Trockenätzen, Plasmaätzen, reaktives Ionenätzen, magnetfeldunterstütztes reaktives Ionenätzen, Elek tron-Zyklotron-Resonanz-Ätzen oder dergleichen angepasst werden. Man beachte, dass dies ungeachtet der Tatsache der Fall ist, ob dem Plasma Energie zugeführt wird durch kapazitativgekoppelte parallele Elektrodenplatten, durch ECR-Mikrowellenplasmaquellen oder durch induktiv gekoppelte RF-Quellen, wie zum Beispiel einer Helikonguelle, Wendelresonatoren und Transformator gekoppelten Quellen (entweder planar oder nicht planar). Diese Behandlungssysteme sind mit anderen auf einfache Weise kommerziell von einer Anzahl von Anbietern einschließlich der vorstehend erwähnten Lam Research Corp. erhältlich.
  • Zur Erleichterung der Diskussion veranschaulicht 4 ein Plasmabehandlungssystem vom Triodentyp 402, das ein Plasmabehandlungssystem repräsentiert, das geeignet ist für die Verwendung mit der CO/CHF3/Neon/N2-Oxidätzmethode. Nun bezugnehmend auf 4 beinhaltet das Plasmabehandlungssystem vom Triodentyp 402 eine Kammer 404. Innerhalb der Kammer 404 sind eine obere Elektrode 406 und eine untere Elektrode 408 angeordnet. In der Ausführungsform von 4 repräsentiert die obere Elektrode 406 einen Kombinations-Elektroden/Gas-Verteilungsplattenmechanismus, durch welchen ätzende Ursprungsgase, die durch den Anschluss 410 eintreten, durch die Öffnungen 412 in die Kammer 404 verteilt werden.
  • Über der unteren Elektrode 408 ist ein Substrat 414 angeordnet, das beispielsweise eine Glasscheibe oder einen Halbleiterwafer mit einer zu ätzenden Oxidschicht darauf repräsentiert. Die obere Elektrode 406 und die untere Elektrode 408 werden durch eine HF-Energiequelle 420 mit Leistung versorgt, welche den Elektroden die Hochfrequenz(HF)-Leistung über geeignete Anpassungsnetzwerke und/oder kapazitive Abblocknetzwerke (bekannt und zur Vereinfachung der Darstellung nicht gezeigt) liefert. In einer Ausführungsform ist der Frequenzbereich der HF-Energiequelle 420 um 13,56 MHz herum, obwohl andere geeignete HF-Frequenzbereiche ebenfalls verwendet werden können.
  • Zwischen der oberen Elektrode 406 und dem Substrat 414 ist eine geerdete Hohlanode 422 angeordnet, d.h. ein an Masse angeschlossenes Gitter mit einer Mehrzahl von Öffnungen oder Abweichungen darin. Während des Plasmaätzens hilft die mit Masse verbundene Anode 422 durch eine gleichmäßigere Verteilung der Ionen über die Oberfläche des Substrates 414 die Ätzuniformität auf dem Substrat 414 zu verbessern.
  • Zum Vorbereiten der Oxidätzung wird das Substrat 414 mit einer über einer TiN-Schicht angeordneten Oxidschicht darauf in die Kammer 404 eingeführt und auf der unteren Elektrode 408 positioniert. Das CO/CHF3/Neon/N2-Ätz-Ursprungsgas wird dann durch den Anschluss 410 einströmen gelassen. Wenn die HF-Energie der oberen Elektrode 406 und der unteren Elektrode 408 zugeführt wird, wird zwischen der oberen Elektrode 406 und der mit Masse verbundenen Anode 422 eine "Remote plasma"-Wolke gezündet und eine reaktive Ionenätz(RIE)-Plasmawolke wird in dem Bereich zwischen der mit Masse verbundenen Anode 422 und dem Substrat 414 gezündet zum Ätzen der freiliegenden Oberfläche des Substrates 414. Die Reaktion erzeugt flüchtige Nebenprodukte, die dann durch die Auslassöffnung 450 ausströmen gelassen werden. Der Oxidätzschritt endet, nachdem eine vorbestimmte Zeit verstrichen ist oder wenn ein geeignetes Überwachungsgerät (wie zum Beispiel ein optischer Wellenlängenmonitor) erfasst, dass das Oxidmaterial durchgeätzt ist.
  • Obwohl keine Beschränkung durch die Theorie beabsichtigt ist, wird angenommen, dass die Bildung des Titanoxides über der TiN-Oberfläche zu der hohen Oxid-zu-TiN-Selektivität der CO/CHF3/Neon/N2-Oxidätzmethode beiträgt. Es wird angenommen, dass das Titanoxid gebildet wird, wenn CO mit TiN reagiert. Alternativ oder zusätzlich wird angenommen, dass die Reaktionen zwischen CHF3, CO2, COF2 und SiF4 CF2-, CFX- und CHFX-Polymere erzeugen. Einige der gebildeten Polymere (es wird angenommen, dass es Fluorocarbon oder Hydrofluorocarbon sind) können die Ätzung der darunter liegenden TiN-Schicht während der Oxidätzung blockieren. Auf diese Weise blockiert, wird die TiN- Abtragung bis zu einem gewissen Grad verzögert. Alternativ oder zusätzlich wird angenommen, dass, wenn das Oxidmaterial in dem Kontaktloch entfernt ist und das TiN-Material den chemisch aktiven Teilchen ausgesetzt ist, Titan auf die Seitenwände des Kontaktlochs gesputtert wird und die Ausbildung des Polymeres zum Abblocken der TiN-Ätzung katalysiert. Alternativ oder zusätzlich wird angenommen, dass Neon eine Rolle beim Steuern der RIE-Verzögerung spielt (d.h. die Ungleichheit in der Ätzrate in dem offenen Bereich des Substrates gegenüber der Ätzrate in dem engen Bereich verringert). Es wird angenommen, dass N2 hilft, Polymerreste zu entfernen und es wird ebenfalls angenommen, dass es eine Rolle bei der RIE-Verzögerungssteuerung spielt.
  • Beispiele
  • In einem Beispiel wird ein 8-Zoll-Wafer (1 Zoll ist 2,54 × 10–2m), der darauf eine 600 Angström (1 Angström ist 0,1 nm) dicke Schicht aus TiN und eine Mehrebenen-PETEOS-Schicht von 7000 Angström Dicke und 14000 Angström Dicke aufweist, in dem vorstehend erwähnten Lam 6500TM Plasmabehandlungssystem angeordnet. Die Tabelle 1 veranschaulicht die ungefähren Parameter zur Verwendung in dem Oxid-Hauptätzschritt während des Ätzens durch die Oxidschicht auf dem Beispielwafer. [Tabelle 1]
    Figure 00110001
    • (1 Torr = 133,3 Pa)
  • Tabelle 2 veranschaulicht die ungefähren Parameter zur Verwendung in dem Oxid-Überätzschritt während des Ätzens durch die Oxidschicht auf dem Beispielwafer. [Tabelle 2]
    Figure 00120001
  • 5 veranschaulicht in Übereinstimmung mit einer Ausführungsform der vorliegenden Erfindung die mit dem Ätzen eines Substrates mit einer über einer TiN-Schicht angeordneten Oxidschicht darauf verbundenen Schritte. Im Schritt 502 wird ein Substrat mit einer über einer TiN-Schicht angeordneten Oxidschicht darauf bereitgestellt und innerhalb der Plasmabehandlungskammer positioniert. Im Schritt 504 wird die Oxidschicht unter Verwendung der erfinderischen chemischen Zusammensetzung CO/CHF3/Neon/N2 der vorliegenden Erfindung geätzt. In einer Ausführungsform sind die in dem Schritt 504 verwendeten Parameter im wesentlichen ähnlich zu jenen, die in den Tabellen 1 und 2 offenbart sind. Die offenbarten Parameter können jedoch optimiert und/oder verändert werden, um den Anforderungen einer speziellen Substratgröße, einer speziellen Oxidschicht (sowohl in der Zusammensetzung als auch in der Dicke), einer speziellen TiN-Schicht (sowohl in der Zusammensetzung als auch in der Dicke) und/oder einem speziellen Plasmabehandlungssystem zu genügen.
  • Im Schritt 506 kann das Substrat zusätzliche Prozessschritte durchlaufen, die in ihrer Natur bekannt sind. Danach kann das fertiggestellte Substrat zu Plättchen bearbeitet werden, welche dann in IC-Chips umgearbeitet werden, oder zum Ausbilden eines Flachbildschirms bearbeitet werden. Der/Die resultierende(n) IC-Chip(s) oder Flachbildschirm(e) kann/können dann in ein elektronisches Gerät eingebaut werden, z.B. in irgendeines der bekannten kommerziellen oder Unterhaltungselektronik-Geräte einschließlich Digitalcomputern.
  • Die chemische Zusammensetzung der CO/CHF3/Neon/N2-Oxidätze liefert in den durchgeführten Experimenten in vorteilhafter Weise eine hohe Oxid-zu-TiN-Selektivität bezüglich der chemischen Zusammensetzung CXFY des Standes der Technik. Rasterelektronenmikroskop(REM)-Aufnahmen zeigen, dass die Oxid-zu-TiN-Selektivität größer als ungefähr 50 zu 1 oder sogar größer als ungefähr 60 zu 1 sein kann. Dies ist eine beachtliche Verbesserung gegenüber der typischen Oxid-zu-TiN-Selektivität von 7 zu 1 bis 10 zu 1, die beobachtet wird, wenn die chemische Zusammensetzung CXFY des Standes der Technik verwendet wird.
  • Eine Analyse der REM-Aufnahmen zeigt weiterhin, dass die hohe Oxid-zu-TiN-Selektivität erzielt wird, ohne ein sauberes Ätzprofil, eine Kontrolle der Strukturbreiten (CD), eine RIE-Verzögerung, eine Selektivität gegenüber dem Photolack und/oder eine Rückstandskontrolle zu beeinträchtigen. Wie durch die Fachleute erfasst werden kann, wird die darunter liegende TiN-Schicht in vorteilhafter Weise während des Oxidätzvorgangs geschützt aufgrund der hohen Oxid-zu-TiN-Selektivität. Weiterhin erlaubt die hohe Oxid-zu-TiN-Selektivität in vorteilhafter Weise einen hohen Grad des Überätzens während des Oxidätzschrittes. Die Möglichkeit der Durchführung eines verlängerten Über ätzens ist vorteilhaft beim Ätzen einer Mehrebenen-Oxidschicht, da sie das TiN-Material innerhalb des Kontaktloches in der dünnen Oxidregion erhält, während ein vollständiges Durchätzen des Oxidmaterials in der dicken Region der Mehrebenen-Oxidschicht möglich wird.

Claims (6)

  1. Verfahren zum Ätzen eines Substrates in einer Plasmabehandlungskammer, wobei auf dem Substrat eine Siliziumdioxidhaltige Schicht vorhanden ist, die über einer TiN-Schicht angeordnet ist, und das Verfahren aufweist: Anordnen des Substrates in der Plasmabehandlungskammer; Einströmen lassen eines ätzenden Ursprungsgases, das aus CO, CHF3, Ne und N2 besteht, in die Plasmabehandlungskammer, wobei ein Strömverhältnis von CHF3 zu Ne gleich 0,2:1 bis 0,3:1 ist und ein Strömverhältnis von CHF3 zu CO gleich 1,1:1 bis 1,8:1 ist; und Ausbilden eines Plasmas aus dem ätzenden Ursprungsgas innerhalb der Plasmabehandlungskammer zum Bewirken der Ätzung der Siliziumdioxid-haltigen Schicht, wobei eine Schicht aus Titanoxid über den freiliegenden Bereichen der Oberfläche der TiN-Schicht ausgebildet wird und wobei die Plasmabehandlungskammer eine Plasmabehandlungskammer vom Triodentyp ist, in der eine geerdete Hohlanode vorhanden ist.
  2. Verfahren nach Anspruch 1, bei dem das Substrat ein Halbleiterwafer ist.
  3. Verfahren nach Anspruch 1, bei dem das Substrat eine Glasscheibe ist.
  4. Verfahren nach einem vorangehenden Anspruch, bei dem die Siliziumdioxid-haltige Schicht eine Tetraethylorthosilikat (TEOS)-Schicht ist.
  5. Verfahren nach einem vorangehenden Anspruch, bei dem ein Strömverhältnis von CHF3 zu N2 gleich 1,5:1 bis 2,0:1 ist.
  6. Verfahren nach einem vorangehenden Anspruch, bei dem das Substrat ein Substrat zum Ausbilden integrierter Schaltungen (ICs) ist.
DE69835032T 1997-12-22 1998-12-11 Verbesserte methode eine oxidschicht zu ätzen Expired - Lifetime DE69835032T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/996,071 US6083844A (en) 1997-12-22 1997-12-22 Techniques for etching an oxide layer
US996071 1997-12-22
PCT/US1998/026499 WO1999033097A1 (en) 1997-12-22 1998-12-11 Improved techniques for etching an oxide layer

Publications (2)

Publication Number Publication Date
DE69835032D1 DE69835032D1 (de) 2006-08-03
DE69835032T2 true DE69835032T2 (de) 2007-06-06

Family

ID=25542474

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69835032T Expired - Lifetime DE69835032T2 (de) 1997-12-22 1998-12-11 Verbesserte methode eine oxidschicht zu ätzen

Country Status (7)

Country Link
US (1) US6083844A (de)
EP (1) EP1042796B1 (de)
JP (1) JP4454148B2 (de)
AT (1) ATE331298T1 (de)
DE (1) DE69835032T2 (de)
TW (1) TW446757B (de)
WO (1) WO1999033097A1 (de)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW406363B (en) * 1998-11-27 2000-09-21 United Microelectronics Corp The method of forming the opening
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6335292B1 (en) * 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
US6486070B1 (en) 2000-09-21 2002-11-26 Lam Research Corporation Ultra-high oxide to photoresist selective etch of high-aspect-ratio openings in a low-pressure, high-density plasma
US6914004B2 (en) * 2001-09-28 2005-07-05 Texas Instruments Incorporated Method for via etching in organo-silica-glass
DE10340147B4 (de) 2002-08-27 2014-04-10 Kyocera Corp. Trockenätzverfahren und Trockenätzvorrichtung
US7556741B2 (en) 2002-08-28 2009-07-07 Kyocera Corporation Method for producing a solar cell
US6949469B1 (en) 2003-12-16 2005-09-27 Lam Research Corporation Methods and apparatus for the optimization of photo resist etching in a plasma processing system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3146561B2 (ja) * 1991-06-24 2001-03-19 株式会社デンソー 半導体装置の製造方法
JPH0513593A (ja) * 1991-07-08 1993-01-22 Sanyo Electric Co Ltd 半導体装置の製造方法
US5658425A (en) * 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5698070A (en) * 1991-12-13 1997-12-16 Tokyo Electron Limited Method of etching film formed on semiconductor wafer
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5596431A (en) * 1995-03-29 1997-01-21 Philips Electronics North America Corp. Plasma addressed liquid crystal display with etched electrodes
JP3753194B2 (ja) * 1995-12-14 2006-03-08 セイコーエプソン株式会社 プラズマ処理方法及びその装置
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5817579A (en) * 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact

Also Published As

Publication number Publication date
WO1999033097A1 (en) 1999-07-01
US6083844A (en) 2000-07-04
JP4454148B2 (ja) 2010-04-21
JP2001527288A (ja) 2001-12-25
DE69835032D1 (de) 2006-08-03
EP1042796A1 (de) 2000-10-11
ATE331298T1 (de) 2006-07-15
TW446757B (en) 2001-07-21
EP1042796B1 (de) 2006-06-21

Similar Documents

Publication Publication Date Title
DE69835032T2 (de) Verbesserte methode eine oxidschicht zu ätzen
DE112008003598B4 (de) Verfahren zum Ätzen einer Öffnung mit hohem Längen-/Breitenverhältnis
DE10230088B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE10016340C1 (de) Verfahren zur Herstellung von flaschenförmigen Tiefgräben zur Verwendung in Halbleitervorrichtungen
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE69909248T2 (de) Verfahren zur verminderung der erosion einer maske während eines plasmaätzens
DE69938342T2 (de) Verfahren zur herstellung von gräben in einer siliziumschicht eines substrats in einem plasmasystem hoher plasmadichte
DE60038423T2 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE112005003338B4 (de) Niederdruck-Entfernung von Photoresist und Ätzresten
DE69626562T2 (de) Verfahren zum isotropen Ätzen von Silizium, das hochselektiv gegenüber Wolfram ist
DE60129566T2 (de) Verfahren zur selektiven ätzung von oxidschichten
DE10127622B4 (de) Verfahren zur Herstellung eines mit HDPCVD-Oxid gefüllten Isolationsgrabens
DE69837981T2 (de) Herstellung eines Grabens mit einem flaschenähnlichen Querschnitt
DE69937807T2 (de) Entfernen von oxiden oder anderen reduzierbaren verunreinigungen mittels plasmabehandlung
DE10030308B4 (de) Verfahren zur Herstellung eines Kontaktstifts und eines Halbleiterbauelementes
DE102006037710B4 (de) Verfahren zur Herstellung einer Grabenisolation eines Halbleiterbauelements
DE69534832T2 (de) Verbessertes Plasma-Ätzverfahren
EP0094528A2 (de) Verfahren zum Herstellen von Strukturen von aus Metallsilizid und Polysilizium bestehenden Doppelschichten auf integrierte Halbleiterschaltungen enthaltenden Substraten durch reaktives Ionenätzen
DE19860780A1 (de) Halbleitervorrichtung und Herstellungsverfahren einer Halbleitervorrichtung
DE60038539T2 (de) Plasmabehandlung zur entfernung von polymeren nach dem ätzen eines kontaktloches
DE69819023T2 (de) Methode, eine leitende schicht zu ätzen
DE4105103C2 (de)
DE112005001713B4 (de) Verfahren zum Ätzen und Herstellen einer Mikrospiegelvorrichtung
DE102013111860A1 (de) Prozesswerkzeuge und Verfahren zur Bildung von Vorrichtungen unter Verwendung von Prozesswerkzeugen
DE102007009913B4 (de) Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika

Legal Events

Date Code Title Description
8364 No opposition during term of opposition