DE102007009913B4 - Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika - Google Patents

Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika Download PDF

Info

Publication number
DE102007009913B4
DE102007009913B4 DE102007009913A DE102007009913A DE102007009913B4 DE 102007009913 B4 DE102007009913 B4 DE 102007009913B4 DE 102007009913 A DE102007009913 A DE 102007009913A DE 102007009913 A DE102007009913 A DE 102007009913A DE 102007009913 B4 DE102007009913 B4 DE 102007009913B4
Authority
DE
Germany
Prior art keywords
etching
dielectric
process gas
value
range
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102007009913A
Other languages
English (en)
Other versions
DE102007009913A1 (de
Inventor
Daniel Fischer
Matthias Schaller
Matthias Lehr
Kornelia Dittmar
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102007009913A priority Critical patent/DE102007009913B4/de
Priority to US11/867,972 priority patent/US8062982B2/en
Publication of DE102007009913A1 publication Critical patent/DE102007009913A1/de
Application granted granted Critical
Publication of DE102007009913B4 publication Critical patent/DE102007009913B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02082Cleaning product to be cleaned
    • H01L21/0209Cleaning of wafer backside
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

Verfahren zum Strukturieren einer dielektrischen Schicht eines Halbleiterbauelements, wobei das Verfahren umfasst:
Bereitstellen eines Halbleiterbauelements mit einer Metallisierungsschicht und einer dielektrischen Schicht benachbart zu der Metallisierungsschicht;
Bereitstellen einer Maskenschicht auf der dielektrischen Schicht, wobei die Maskenschicht unmaskierte Gebiete der dielektrischen Schicht definiert;
Bereitstellen eines Plasmas auf der Grundlage eines Prozessgases für das Ätzen eines Dielektrikums, wobei das Prozessgas für das Ätzen des Dielektrikums Fluorkohlenwasserstoff und N2 enthält;
Ätzen der dielektrischen Schicht mit dem Plasma in den nicht maskierten Gebieten, wodurch die dielektrische Schicht strukturiert wird;
Erzeugen eines Plasmas aus einem Prozessgas für einen dem Ätzen nachgeordneten Prozess, wobei das Prozessgas des dem Ätzen nachgeordneten Prozesses O2 und NH3 aufweist, und
dem Ätzen nachgeordnetes Bearbeiten des Halbleiterbauelements mithilfe des aus dem Prozessgas für einen dem Ätzen nachgeordneten Prozess erzeugten Plasmas.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Offenbarung das Gebiet des Plasmaätzens und betrifft insbesondere das Plasmaätzen eines Zwischenschichtdielektrikums während der Halbleiterherstellung.
  • Beschreibung des Stands der Technik
  • Die Herstellung komplexer integrierter Schaltungen erfordert das Bereitstellen einer großen Anzahl an Transistorelementen, die in Logikschaltungen als effiziente Schalter verwendet werden und die das dominierende Schaltungselement für die Gestaltung von Schaltungen repräsentieren. Im Allgemeinen werden gegenwärtig eine Vielzahl von Prozesstechnologien eingesetzt, wobei für komplexe Schaltungen, etwa Mikroprozessoren, Speicherchips, und dergleichen, die CMOS-Technologie aktuell die vielversprechendste Lösung auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder die Leistungsaufnahme und/oder die Kosteneffizienz ist. In CMOS-Schaltungen werden komplementäre Transistoren, d. h. p-Kanaltransistoren und n-Kanaltransistoren, zur Herstellung von Schaltungselementen eingesetzt, etwa Inverter und andere Logikgatter, um komplexe Schaltungsanordnungen zu gestalten, etwa CPU's, Speicherchips, und dergleichen. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung der CMOS-Technologie werden Millionen Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat gebildet, das eine kristalline Halbleiterschicht aufweist. Nach dem Herstellen der aktiven Komponenten, beispielsweise der Transistoren, Kondensatoren oder Widerstände, wird die Scheibe im Wesentlichen mit einer Schicht aus dielektrischen Material versiegelt, etwa Siliziumdioxid (SiO2). Es werden Kontaktlöcher in dem dielektrischen Material gebildet, die mit einem geeigneten Metall, etwa Wolfram (W), Tantal (Ta) gefüllt werden, wodurch Metallpfropfen gebildet werden, die elektrische Verbindungen zu den Kontakten der einzelnen Komponenten bereitstellen. Die Prozesssequenz bis zu diesem Punkt wird manchmal auch als Prozesssequenz des „vorderen Bereichs der Fertigungslinie” (FEOL) bezeichnet. Danach werden die Komponenten für gewöhnlich getestet, um die korrekte Funktionsweise der Komponenten sicherzustellen.
  • Die einzelnen Komponenten, beispielsweise Transistoren, erfordern elektrische Verbindungen, die die endgültige Funktionsfähigkeit der gewünschten Einheiten bieten, beispielsweise von Chips, die die einzelnen Komponenten enthalten. Die Prozesssequenz zur Herstellung der elektrischen Verbindung zwischen den Komponenten wird manchmal auch als Prozesssequenz des „hinteren Bereichs der Fertigungslinie” (BEOL) bezeichnet. Für gewöhnlich werden die elektrischen Verbindungen durch eine Reihe von leitenden Schichten gebildet, die durch dielektrische Schichten getrennt sind. Die dielektrischen Schichten werden häufig als „Zwischenschichtdielektrikum” (ILD) bezeichnet.
  • Übliche Materialien für Zwischenschichtdielektrikumsschichten umfassen siliziumbasierte Oxidmaterialien, die als elektrische Isolatoren dienen, beispielsweise undotiertes Siliziumoxid, fluordotiertes Siliziumoxid oder andere Materialien. Zu anderen Zwischenschichtdielektrikumsmaterialien gehören Dielektrika mit kleinem ε, d. h. isolierende Materialien mit einer kleinen dielektrischen Konstante, etwa Materialien mit einem ε von kleiner 3. Zu Dielektrika mit kleinem ε gehören siliziumgestützte Materialien, etwa kohlenstoffbasierte Materialien, Polymermaterialien und dergleichen.
  • Der zunehmende Grad an Integration in integrierten Schaltungen führt zu einer Zunahme der Anzahl an Schichten, die die integrierte Schaltung bilden. Es werden bis zu 10 Verbindungsschichten oder mehr vorgesehen. Wenn jedoch die Anzahl der Schichten in der integrierten Schaltung zunimmt, sind moderne Prozesse zu entwickeln, um die Anzahl der erforderlichen Prozessschritte für eine einzelne Funktionsschicht zu reduzieren. Diese modernen Prozesse erfordern häufig sehr spezielle Eigenschaften für die Chemie des Ätzprozesses. Das Ätzen gestapelter dielektrischer Schichten ist eine der schwierigsten Anforderungen.
  • Die einzelnen Verbindungsschichten sind elektrisch durch leitende Pfropfen verbunden, wie dies zuvor beschrieben ist, die in entsprechenden Kontaktlöchern in den dielektrischen Schichten gebildet sind. Es werden üblicherweise unterschiedliche Verfahren zur Bereitstellung der elektrischen Verbindungen eingesetzt. Ein Verfahren besteht darin, nicht nur die Kontaktlöcher zu füllen, sondern auch eine kontinuierliche Metallschicht des entsprechenden Metalls sowohl über den gefüllten Kontaktlöchern als auch über dem Dielektrikum vorzusehen. Danach wird ein lithographischer Schritt eingesetzt, um eine Photolackschicht über der ebenen Metallschicht zu bilden und das freiliegende Metall zu ätzen. Auf diese Weise wird ein Netzwerk aus elektrischen Verbindungen erhalten. Ein weiteres Verfahren, das als Damaszener-Prozess bekannt ist, verwendet das chemisch-mechanische Polieren anstelle des Metallätzens. Dies ist besonders vorteilhaft für Kupferverbindungen, da Ätzprodukte, die durch das Kupferätzen erhalten werden, weniger flüchtig sind als entsprechende Ätzprodukte, die bei einer Siliziumätzung oder Aluminiumätzung erhalten werden. In dem Damaszener-Prozess werden zusätzlich zu den Kontaktlöchern entsprechende Vertiefungen für die elektrischen Verbindungen in der dielektrischen Schicht gebildet. Die Kontaktlöcher sowie die Vertiefungen, die den elektrischen Verbindungen entsprechen, werden mit dem gewünschten Metall gefüllt und danach wird die Oberfläche durch chemisch-mechanisches Polieren eingeebnet (CMP).
  • Die KR 20050067817 A beschreibt ein Verfahren zum Herstellen von metallischen Zwischenverbindungen eines Halbleiterbauelements wobei Fluorkohlenwasserstoff-Polymere durch sequentielles Ätzen einer dritten und zweiten dielektrischen Zwischenschicht entfernt werden unter Verwendung von Ätzgasen mit N2-Ionen.
  • Die JP 2004172456 A beschreibt ein Verfahren zum Herstellen eines Halbleiterbauelements, worin das Auftreten von Trennungsrückständen in einem Verbindungsloch unterdrückt wird, wenn das Verbindungsloch in einem nichtleitenden Zwischenschichtfilm hergestellt wird.
  • Die US 6 080 662 A1 beschreibt ein Verfahren zum Herstellen von mehrstufigen Kontakten in großen Halbleiterbauelementen.
  • Die EP 1 367 63 A1 beschreibt ein Verfahren zum Ätzen eines organischen nichtleitenden Films und einen Dual-Damascene-Prozess, wobei im Dual-Damascene-Prozess ein nichtleitender Film mit einer niedrigeren dielektrischen Konstante als ein nichtleitender Zwischenschichtfilm verwendet wird.
  • Um eine gewünschte hohe Packungsdichte zu erreichen, ist ein kleiner Durchmesser für die Kontaktlöcher wünschenswert. Da jedoch die Dicke der dielektrischen Schicht ausreichend dick sein muss, um die ILD-Kapazität zu minimieren, werden die Kontaktlöcher in modernen integrierten Schaltungen mit einem Aspektverhältnis gebildet, das bis zu 8:1 oder höher sein kann, wobei die Öffnung einen Durchmesser von 0,1 μm oder weniger aufweist. Das Aspektverhältnis derartiger Öffnungen ist im Allgemeinen als das Verhältnis der Tiefe der Öffnung zu der Breite der Öffnung definiert. Derartige große Aspektverhältnisse erfordern einen zuverlässigen Ätzprozess mit hoher Genauigkeit.
  • Die Kontaktlöcher werden für gewöhnlich durch einen äußerst anisotropen Ätzprozess geschaffen, beispielsweise einen Plasmaätzprozess. Im Allgemeinen umfasst ein Plasmaätzprozess das Bereitstellen von Prozessgasen, die bei einer geeigneten Prozesstemperatur Gasverbindungen mit dem zu ätzenden Material bilden. Für gewöhnlich reagieren die Prozessgase nicht spontan mit dem zu ätzenden Material. Vielmehr wird ein Plasma vorgesehen, in welchem die Prozessgase in entsprechende reaktive freie Radikale zerfallen, die spontan reagieren und flüchtige Verbindungen bilden. Für das Ätzen von Dielektrika und insbesondere für Siliziumdioxid (SiO2) sind Plasmaätzprozesse auf der Grundlage von Kohlenstoff/Fluorprozessgasen, die auch als Fluorkohlenstoff im Folgenden bezeichnet werden, bekannt. Plasmaquellen unterschiedlicher Arten sind bekannt, wozu kapazitiv gekoppelte Plasmaätzanlagen gehören. Dabei werden mindestens zwei Elektroden in einer Kammer vorgesehen, wobei die zu ätzende Scheibe dazwischen angeordnet ist. An eine Elektrode, beispielsweise an eine untere Elektrode, wird eine Wechselstromleistung angelegt, d. h. typischerweise eine Radiofrequenz-(RF)Leistung, um ein Plasma in der Kammer zu er zeugen und aufrecht zu erhalten. Ferner wird eine Vorspannungsleistung über den Elektroden angelegt, die zu einem gerichteten Beschuss der Scheibe mit Ionen führt, die aus den Vorstufengasen erzeugt werden. Eine weitere Art einer Plasmaätzanlage ist ein induktiv gekoppelte Plasmaätzanlage, in der das Plasma in der Kammer durch Erzeugen eines elektromagnetischen Wechselfeldes in der Kammer hervorgerufen wird, indem ein entsprechender Strom in einer Spule erzeugt wird.
  • Um ein gut definiertes Ätzergebnis zu erhalten, werden für gewöhnlich Ätzstoppschichten unter der dielektrischen Schicht vorgesehen, die dem Plasmaätzvorgang unterworfen wird. Die Ätzstoppschicht besitzt eine Zusammensetzung im Vergleich zu der dielektrischen Schicht derart, dass eine Ätzchemie verfügbar ist, die ein vertikales Loch in die darüber liegende dielektrische Schicht ätzt, aber auf der Ätzstoppschicht anhält. Anders gesagt, der Ätzprozess ätzt selektiv die dielektrische Schicht über der Ätzstoppschicht. Moderne Halbleiterbauelement enthalten eine dielektrische Schicht, beispielsweise ein nicht dotiertes Siliziumoxid, nicht-stoichiometrische Materialien SiOx, dotierte Silika-Gläser, etwa fluordotiertes Silika-Glas (FSG), beispielsweise F-TEOS, das im Wesentlichen die gleiche chemische Zusammensetzung wie Siliziumdioxid (SiO2) aufweist, Dielektrika mit kleinem ε, und dergleichen. Ein geeignetes Stoppschichtmaterial für Oxide für Siliziumdioxid (SiO2) ist Siliziumnitrid, beispielsweise Si3N4 oder nicht SiNx mit nicht-stoichiometrischen Verhältnissen, wobei x zwischen 1,0 und 1,5 liegt.
  • Während des Plasmaätzprozesses können sich neben den flüchtigen Verbindungen, die aus dem zu ätzenden Material und dem entsprechenden Vorstufenmaterial gebildet werden, auch Polymere aus den Prozessgasen bilden, beispielsweise können CxFy-Fragmente Fluorkohlenstoffpolymere bilden. Die gut definierte Ausbildung von Polymeren kann vorteilhaft ausgenutzt werden, um den Ätzprozess zu optimieren. Es können jedoch unerwünschte Nebenreaktionen zur Ausbildung von Polymeren in dem geätzten Kontaktloch führen, die ein leitendes Material beeinträchtigen, das nachfolgend in die Kontaktlochöffnung eingefüllt wird. Nach dem Ätzen der Kontaktlöcher muss die Lackmaske entfernt werden, was eine zusätzliche Quelle für Restpolymermaterial bildet, das in dem geätzten Kontaktloch zurückbleiben kann. Es ist üblich bei der Plasmasätzung von Kontaktlöchern, den Ätzen nachgeordnete Behandlungsschritte (PET) einzubauen, um das restliche Polymermaterial, das in dem Kontaktloch verbleibt, zu minimieren.
  • Angesichts der zuvor beschriebenen Situation besteht ein Bedarf für eine verbesserte Ätztechnik, die eine sehr zuverlässige und sehr genaue Ätzung eines Zwischenschichtdielektrikkums ermöglicht, wobei auch eine hohe Ausbeute für Halbleiterbauelemente und ein hohes Maß an Prozesskompatibilität mit standardmäßigen Fertigungsprozessen geschaffen wird, während eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die Erfindung
  • Im Allgemeinen richtet sich die vorliegende Offenbarung an eine Technik zum Ätzen eines Zwischenschichtdielektrikums, wobei die Ausbeute für Halbleiterbauelemente vergrößert werden kann, indem der dielektrische Ätzprozess so modifiziert wird, dass die Ausbildung von Polymeren an einer Rückseitenabschrägung einer Scheibe im Wesentlichen vermieden oder reduziert wird oder indem der dielektrische Ätzprozess so modifiziert wird, dass das an der Rückseitenabschrägung der Scheibe abgelagerte Polymer so modifiziert wird, dass es in-situ entfernt werden kann.
  • Ein anschauliches Verfahren zum Strukturieren einer dielektrischen Schicht eines Halbleiterbauelements, wie es hierin offenbart ist, umfasst das Bereitstellen eines Halbleiterbauelements mit einer Metallisierungsschicht und einer dielektrischen Schicht benachbart zu der Metallisierungsschicht; Bereitstellen einer Maskenschicht auf der dielektrischen Schicht, wobei die Maskenschicht nicht maskierte Gebiete der dielektrischen Schicht definiert. Bereitstellen eines Plasmas auf der Grundlage einer Basis eines dielektrischen Ätzprozesses, wobei das Gas für den dielektrischen Ätzprozess Fluorkohlenwasserstoff und N2 enthält; Ätzen der dielektrischen Schicht mit dem Plasma in den unmaskierten Gebieten, wodurch die dielektrische Schicht strukturiert wird; Erzeugen eines Plasmas aus einem Prozessgas für einen dem Ätzen nachgeordneten Prozess, wobei das Prozessgas des dem Ätzen nachgeordneten Prozesses O2 und NH3 aufweist, und dem Ätzen nachgeordnetes Bearbeiten des Halbleiterbauelements mithilfe des aus dem Prozessgas für einen dem Ätzen nachgeordneten Prozess erzeugten Plasmas.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Vorteile, Aufgaben und Ausführungsformen sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1f schematisch einen Schichtstapel mit einem Zwischenschichtdielektrikum in unterschiedlichen Fertigungsphasen zeigen;
  • 2a bis 2c AES-Tiefenprofile einer Rückseite einer Scheibe an und in der Nähe einer Scheibenabschrägung zeigen;
  • 3 schematisch eine Ausführungsform einer Plasmaätzeinrichtung zeigt;
  • 4 schematisch eine Teilquerschnittsansicht einer Scheibe nach einem Ätzprozess gemäß einer Ausführungsform der Erfindung zeigt;
  • 5 schematisch eine Teilquerschnittsansicht einer Scheibe mit einem Polymer zeigt, das an einer Rückseitenabschrägung der Scheibe auf Grund eines konventionellen Ätzprozesses abgeschieden ist; und
  • 6 ein AES-Tiefenprofil an einer Rückseitenabschrägung der Scheibe aus 5 zeigt.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Offenbarung mit Bezug zu Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Offenbarung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte dar, deren Schutzbereich die angefügten Patentansprüche definiert ist. Die bloße Tatsache, dass gewisse Merkmale in unterschiedlichen abhängigen Ansprüchen angegeben sind, bedeutet nicht, dass eine Kombination dieser Merkmale nicht vorteilhaft verwendet werden kann. Die bloße Tatsache, dass gewisse Merkmale in unterschiedlichen Ausführungsformen genannt sind, bedeutet nicht, dass eine Kombination dieser Merkmale nicht vorteilhaft eigesetzt werden kann. Im Gegenteil es sollte beachtet werden, dass eine Kombination von Merkmalen einer oder mehrerer Ausführungsformen, wie sie hierin offenbart sind, möglich ist und auch beabsichtigt ist, solange eine derartige Kombination im Schutzbereich der Ansprüche liegt.
  • Es sollte beachtet werden, dass in dieser Anmeldung durchwegs „%” „Volumen%” bedeutet, sofern dies nicht anderweitig angegeben ist. Ferner sind die Volumenkonzentrationen für die entsprechenden Prozessgase unter Standardbedingungen angegeben und beziehen sich daher direkt auf einen Durchfluss der entsprechenden Prozessgase unter Standardbedingungen, wie dies in Standardkubikzentimeter pro Minute (sccm) ausgedrückt ist. Somit bezieht sich beispielsweise ein Stickstoffgehalt auf den Gehalt des nicht dissoziierten Stickstoffs (N2). Wenn auf einem Ätzprozess unter Anwendung eines Prozessgases verwiesen wird, ist es selbstverständlich, dass das „Prozessgas” nicht das Prozessgas unter Standardbedingungen ist, sondern dass ein aus dem entsprechenden Ätzprozessgas erzeugtes Plasma verwendet wird, das zum Ätzen geeignet ist. In dieser Beschreibung und den Ansprüchen bedeutet der Ausdruck „einen Wert annehmen” das „manuelle Einstellen der entsprechenden Größe, so dass dieser Wert angenommen wird”, „das automatische Einstellen der entsprechenden Größe, so dass der Wert angenommen wird, beispielsweise mittels einer geeigneten Steuereinheit”, „das Beibehalten der entsprechenden Größe an diesem Wert”, etc.
  • 5 zeigt eine Situation, die erhalten wird, indem ein konventioneller Plasmaätzprozess zum Ätzen eines Zwischenschichtdielektrikums verwendet wird. In einem Standardätzprozess werden siliziumenthaltende Polymere 2 an einer Rückseitenabschrägung 4 einer Scheibe 6 zurückgelassen, die durch den konventionellen in-situ-Lackentfernungsprozess nicht abgetragen werden können. In Verbindung mit einer standardmäßigen Barrieren/Saat-Metallabscheideanlage kann das Rückseitenpolymer 2 zu einer sehr unvorteilhaften Materialablösung in einem Gebiet an der Rückseitenabschrägung führen, die mit 8 bezeichnet ist. Die Materialablösung reduziert die Ausbeute.
  • Die Rückseitenabschrägung 4 und das darüber ausgebildete Polymer 2 wurden durch Auger-Elektronenmikroskopie (AES) untersucht. AES ist ein Standardverfahren für die Oberflächenanalyse. Beim AES werden Atome mittels eines Elektronenstrahls angeregt, wobei Elektronen aus inneren Schalen der Atome herausgeschlagen werden. Die resultierenden freien Elektronzustände werden mit Elektronen aus äußeren Schalen gefüllt, wobei die resultierende Energie auf ein weiteres Elektron übertragen wird, das als Auger-Elektron bezeichnet wird, das das Atom verlässt. Beim AES werden Auger-Elektronen mit geringer Energie in einem Bereich zwischen ungefähr 20 Elektronvolt (eV) bis ungefähr 2000 Elektronenvolt (eV) verwendet. Für Auger-Elektronen in diesem Energiebereich liegt die Ausheiztiefe zwischen 0,5 Nanometer (nm) und 2,0 Nanometer (nm), d. h. AES ist äußert oberflächensensitiv. Durch wiederholtes Abtragen der Oberfläche mittels Ionenbeschuss (Sputtering) der untersuchten Oberfläche und nachfolgendem AES kann ein Tiefenprofil der Zusammensetzung in der Nähe der Oberfläche einer Probe erzeugt werden. Ein derartiges Tiefenprofil für die Rückseitenabschrägung 4 ist in 6 gezeigt, wobei die Intensität gegenüber der Sputter-Zeit t, d. h. gegenüber der Tiefe aufgetragen ist. In 6 sind die Intensität I und die Sputter-Zeit in willkürlichen Einheiten angegeben.
  • 6 zeigt das Tiefenprofil der Konzentration mehrerer Elemente, d. h. Sauerstoff (O), Stickstoff (N), Fluor (F), Kohlenstoff (C) und Silizium (Si) nach einem konventionellen Plasmaätzprozess einer dielektrischen Schicht in einer kapazitiv gekoppelten Plasmaätzanlage. Es zeigt sich, dass nach dem Ätzen der dielektrischen Schicht ein Fluorkohlenstoff (CFx) Polymer 2 an der Rückseitenscheibenabschrägung 4 gebildet ist, das als 10 bezeichnet ist, und zwischen den gepunkteten Linien in 5 liegt. Über dem Polymer 2 ist eine siliziumreiche Mischschicht ausgebildet, die als 12 bezeichnet ist. Die siliziumreiche Mischschicht ist möglicherweise der Grund dafür, dass das Polymer 2 durch Erweiterung einer der Ätzung nachgeordneten Behandlung (PET) nach dem konventionellen in-situ-Lackabtragungsschritt nicht entfernt werden kann.
  • Um dieses Problem zu lösen, stellt die vorliegende Offenbarung eine Technik zum Ätzen eines Zwischenschichtdielektrikummaterials bereit, wodurch die Ausbeute an Halbleiterbauelementen vergrößert werden kann, indem der dielektrische Ätzprozess so modifiziert wird, dass die Ausbildung von Polymeren an einer Rückseitenabschrägung 4 einer Scheibe 6 vermieden wird, oder indem der dielektrische Ätzprozess so modifiziert wird, dass an der Rückseitenabschrägung 4 der Scheibe 6 abgeschiedene Polymer derart modifiziert wird, dass es in-situ entfernt werden kann. Gemäß einer anschaulichen Ausführungsform werden beide Aspekte erreicht, indem die Herstellung des Polymers an der Rückseitenabschrägung 4 der Scheibe 4 wesentlich reduziert wird und verbleibendes Polymer dann mit einem der Ätzung nachgeordneten Behandlungsschritt (PET) entfernt wird, wie dies nachfolgend beschrieben ist.
  • Im Folgenden werden anschauliche Ausführungsformen im Hinblick auf eine typische Prozesssequenz zur Herstellung einer Kontaktlochöffnung in einer dielektrischen Schicht beschrieben. Zu diesem Zweck wird auf die 1 bis 1f verwiesen.
  • 1a zeigt schematisch einen Schichtstapel 190 in einer beispielhaften Fertigungsphase eines Halbleiterbauelements. in 1a ist eine untere dielektrische Schicht 102 vorgesehen, die eine Verdrahtung 104 einer elektrischen Verbindungsstruktur aufweist, die darin eingebettet ist. Die Oberfläche 106 der unteren dielektrischen Schicht 102 und die leitende Verdrahtung 104 ist eingeebnet, beispielsweise durch chemisch-mechanisches Polymer (CMP). Über der Oberfläche 106 ist eine Ätzstoppschicht 108 vorgesehen. Die Ätzstoppschicht kann beispielsweise aus Siliziumnitrid mit geeigneter Zusammensetzung SixNy hergestellt sein. Über der Ätzstoppschicht 108 ist eine obere dielektrische Schicht 110 vorgesehen. Die dielektrische Schicht kann eine beliebige dielektrische Schicht in einem Halbleiterbauelement sein. Beispielsweise wird die dielektrische Schicht 110 während einer Prozesssequenz in „vorderen Bereich der Prozesslinie” oder während einer Prozesssequenz „hinteren Bereich der Prozesslinie” gebildet. Gemäß einer Ausführungsform ist die dielektrische Schicht 110 eine dielektrische Zwischenschicht (ILD-Schicht). Ferner kann das Ätzen der dielektrischen Schicht 110 einer beliebigen Fertigungsphase bei der Halbleiterherstellung entsprechen. Die obere dielektrische Schicht 110 wird den nachfolgend beschriebenen Prozessen unterzogen und wird im Folgenden lediglich als „die dielektrische Schicht” bezeichnet. Die dielektrische Schicht 110 kann aus einer Vielzahl aus Materialien hergestellt werden, beispielsweise Siliziumdioxid (SiO2), fluordotiertes Siliziumoxid, F-TEOS, etc. Es sollte beachtet werden, dass das Ätzen einer Kontaktlochöffnung nur anschaulicher Natur ist. Unter Anwendung der gleichen Prozesse können Gräben, Vertiefungen oder dergleichen in der dielektrischen Schicht 110 geätzt werden, beispielsweise um eine Verdrahtung einer elektrischen Verbindungsstruktur in einem Damaszener-Prozess oder einen dualen Damaszener-Prozess bereitzustellen. D. h., unter Anwendung der nachfolgend beschriebenen Prozesse kann ein Graben ähnlich zu dem Graben 112 zur Aufnahme des Materials der Verdrahtung 104 in der unteren dielektrischen Schicht 102 aus 1a in der dielektrischen Schicht 110 gebildet werden.
  • Über der dielektrischen Schicht 110 ist eine Maskenschicht 114, beispielsweise eine Polymerschicht oder eine Photolackschicht, vorgesehen, die die Position und die laterale Abmessung eines Kontaktloches definiert, das in der dielektrischen Schicht 110 zu ätzen ist. Zu diesem Zweck wird die Maskenschicht 114 strukturiert, um eine Öffnung 116 in der Maskenschicht 114 vorzusehen. Die Öffnung 116 kann durch ein geeignetes Verfahren gebildet werden, beispielsweise durch bekannte Lithographieprozesse. Die Unterseite der Öffnung 116 kann mit restlichem Material 118 aus vorhergehenden Prozessen bedeckt sein.
  • Gemäß einer Ausführungsform wird vor einem Hauptätzprozess zum Ätzen des dielektrischen Materials 110 ein „Durchbruchs”-Ätzprozess 120 ausgeführt mit einem Plasma auf der Grundlage eines Durchbruchprozessgases, um damit das restliche Material 118 von der Unterseite der Öffnung 116 zu entfernen, um damit freien Zugang zu dem dielektrischen Material 110 zu erhalten. Das Durchbruchsprozessgas kann aus einer Vielzahl von Gasen in Abhängigkeit von der speziellen Anwendung aufgebaut sein, beispielsweise Fluorkohlenstoff, etc. Gemäß einer anschaulichen Ausführungsform umfasst Fluorkohlenstoff Tetrafluormethan (CF4) und Trifluormethan (CHF3). Gemäß einer weiteren Ausführungsform nimmt ein Verhältnis einer Volumenkonzentration von Tetrafluormethan (CF) zu einer Volumenkonzentration von Trifluormethan (CHF3) einen Wert im Bereich von 0,8:1 bis 1,2:1 an. Gemäß einer weiteren Ausführungsform weist durch Durchbruchprozessgas im Wesentlichen kein Stickstoff auf. Gemäß einer weiteren Ausführungsform besteht das Durchbruchsprozessgas aus Tetrafluormethan (CF4) und Trifluormethan (CHF3). In der dargestellten Ausführungsform besteht das Durchbruchsprozessgas als Tetrafluormethan (CF4) und Trifluormethan (CHF3) in gleichen Volumenkonzentrationen. Gemäß einer weiteren Ausführungsform nimmt der Gesamtdurchfluss durch die Plasmaätzkammer während des Durchbruchätzprozesses 120 einen Wert im Bereich von ungefähr 32 sccm bis ungefähr 48 sccm an. Gemäß einer weiteren Ausführungsform liegt die Durchflussrate in einem Bereich zwischen ungefähr 36 sccm und ungefähr 44 sccm. Der in den 1a bis 1f gezeigte Schichtstapel 100 ist auf einem Substrat gebildet, d. h. einer Scheibe mit einem Durchmesser von 200 Millimeter (mm). In dieser Beschreibung kann eine Durchflussrate mit der Fläche der Scheibe und damit dem Durchmesser der Scheibe skaliert werden. Z. B. kann die Durchflussrate proportional zu einer Scheibenfläche skaliert werden, d. h. für eine Scheibe mit doppeltem Durchmesser kann eine vierfache Durchflussrate für die entsprechenden Prozessgase in der Plasmakammer eingerichtet werden. In anderen Ausführungsformen können andere Skalierungsgesetze gesetzt werden. In noch anderen Ausführungsformen werden Prozessgase mit einer Durchflussrate zugeführt, die unabhängig von der Scheibengröße ist.
  • Gemäß einer Ausführungsform wird der Gesamtdruck für das Durchbruchsprozessgas einen Wert im Bereich von ungefähr 80 bis ungefähr 120 mTorr an. Gemäß einer weiteren Ausführungsform liegt der Gesamtdruck des Durchflussprozessgases in einem Bereich von ungefähr 90 bis ungefähr 110 mTorr.
  • Gemäß einer Ausführungsform wird während des Durchbruchätzproresses 120 ein Verhältnis einer Vorspannungsleistung pro Scheibenflächeneinheit in Bezug auf eine Quellenleistung pro Scheibenflächeneinheit auf einen Wert im Bereich von ungefähr 3,2:1 bis ungefähr 4,8:1 eingestellt. Gemäß einer Ausführungsform wird während des Durchbruchätzprozesses ein Verhältnis einer Vorspannungsleistung pro Scheibenflächeneinheit in Bezug auf eine Quellenleistung pro Scheibenflächeneinheit auf einem Wert von ungefähr 3,6:1 bis ungefähr 4,4:1 eingestellt. Gemäß einer Ausführungsform der Erfindung wird während des Durchbruchätzprozesses eine Quellenleistung, die pro Scheibenflächeneinheit zugeführt wird, auf einen Wert im Bereich von ungefähr 5,1 kW/m2 bis 7,6 kW/m2 eingestellt Gemäß einer weiteren Ausführungsform wird während des Durchbruchätzprozesses eine Quellenleistung, die pro Scheibenflächeneinheit zugeführt wird, auf einen Wert im Bereich von ungefähr 5,7 kW/m2 bis 7,0 kW/m2 eingestellt. In der dargestellten Ausführungsform besitzt eine Quellenleistung, die pro Scheibenflächeneinheit zugeführt wird, einen Wert von ungefähr 6,4 kW/m2. Gemäß einer weiteren Ausführungsform besitzt eine Vorspannungsleistung pro Scheibenflächeneinheit einen Wert im Bereich zwischen ungefähr 2,4 kW/m2 und 30,56 kW/m2. Gemäß einer weiteren Ausführungsform besitzt eine Vorspannungsleistung pro Scheibenflächeneinheit einen Wert im Bereich von ungefähr 22,9 kW/m2 bis 28,0 kW/m2.
  • Gemäß einer anschaulichen Ausführungsform weist die Prozesszeit für den Durchbruchsätzprozess 120 einen Wert im Bereich von ungefähr 29 Sekunden bis ungefähr 43 Sekunden auf. Gemäß einer weiteren Ausführungsform weist die Prozesszeit für den Durchbruchsätzprozess 120 einen Wert im Bereich zwischen ungefähr 32 Sekunden und ungefähr 40 Sekunden auf.
  • Nach dem Entfernen der Restmaterialien 118 wird der Schichtstapel 100 in der Fertigungsphase erhalten, wie sie in 1b gezeigt ist. Danach wird ein dielektrischer Ätzprozess 122 ausgeführt, der das Bereistellen eines Plasmas auf der Grundlage eines Stickstoff und Fluorkohlenwasserstoff enthaltenden Prozessgases für die Dielektrikumsätzung und das Ätzen der dielektrischen Schicht 110 mit diesem Plasma enthält. Gemäß einer Ausführungsform der Erfindung ist der dielektrische Ätzprozess 122 ein Hauptätzprozess, der von weiteren Ätzprozessen begleitet wird, etwa den zuvor beschriebenen Durchbruchsätzprozess 120 oder anderen Prozessen, beispielsweise den Prozessen, wie sie nachfolgend beschrieben sind. In dem dielektrischen Ätzprozess 122 wird auf Grund des stickstoffenthaltenden Plasmas Siliziumnitrid 121 an der Rückseitenabschrägung 4 der Scheibe 6 gebildet, wie in 4 gezeigt ist. Die Ausbildung von Siliziumnitrid 121 an der Rückseitenabschrägung 4 der Scheibe 6 konkurriert mit der Ausbildung von Polymeren 2 (siehe 5), wodurch zumindest die Ausbildung von Polymeren 2 an der Rückseitenabschrägung 4 reduziert wird. Ferner ist Siliziumnitrid sehr stabil und besitzt keine Neigung zum Ablösen. Ferner können Polymere 2, die auf Siliziumnitrid 121 gebildet sind, effizient in-situ während eines dem Ätzen nachgeordneten Behandlungsschrittes (PET) entfernt werden.
  • Erfindungsgemäß wird in dem dielektrischen Ätzprozess 122 ein Gas verwendet, das Fluorkohlenstoff aufweist. Beispielsweise besitzt das Verhältnis einer Volumenkonzentration des Fluorkohlenstoff zu der Volumenkonzentration des Stickstoffs N2 einen Wert im Bereich von ungefähr 0,24:1 bis ungefähr 0,36:1. Gemäß einer weiteren anschaulichen Ausführungsform weist das Verhältnis eine Volumenkonzentration des Fluorkohlenstoffs zu der Volumenkonzentration des Stickstoffs (N2) einen Wert im Bereich von ungefähr 0,27:1 bis ungefähr 0,33:1 auf. Gemäß einer Ausführungsform der Erfindung besitzt eine Absolutvolumenkonzentration an Stickstoff (N2) einen Wert zwischen ungefähr 6% und ungefähr 9%. Gemäß einer weiteren Ausführungsform liegt die Absolutvolumenkonzentration an Stickstoff (N2) bei einem Wert im Bereich zwischen ungefähr 6,7% und ungefähr 8,2%. Gemäß einem weiteren Beispiel hat eine Absolutvolumenkonzentration von Fluorkohlenstoff einen Wert im Bereich zwischen ungefähr 1,8% und ungefähr 2,7%. Gemäß einer noch weiteren Ausführungsform hat die Absolutvolumenkonzentration von Fluorkohlenstoff einen Wert im Bereich zwischen ungefähr 2,0% und ungefähr 2,5%. Gemäß einer Ausführungsform enthält Fluorkohlenstoff Oktafluorbutan (C4F8). In einer weiteren Ausführungsform besteht der Fluorkohlenstoff aus Oktafluorbuan (C4F8), d. h. das Oktafluorbutan (C4F8) ist die einzige Fluorkohlenstoffkomponente in dem Prozessgas für die Dielektrikumsätzung.
  • Das während des dielektrischen Ätzprozesses 122 verwendete Prozessgas kann ferner Sauerstoff (O2) enthalten. Gemäß einer Ausführungsform hat ein Verhältnis einer Volumenkonzentration des Sauerstoffs (O2) in Bezug auf eine Volumenkonzentration des Stickstoffs (N2) einen Wert im Bereich von ungefähr 0,08:1 bis ungefähr 0,12:1. Gemäß einer werteren Ausführungsform hat ein Verhältnis einer Volumenkonzentration des Sauerstoffs in Bezug auf eine Volumenkonzentration des Stickstoffs einen Wert im Bereich von ungefähr 0,09:1 bis ungefähr 0,11:1. Gemäß einer Ausführungsform besitzt eine absolute Volumenkonzentration des Sauerstoffs (O2) einen Wert im Bereich von ungefähr 0,6% bis ungefähr 0,9%. Gemäß einer weiteren Ausführungsform besitzt eine absolute Volumenkonzentration von Sauerstoff (O2) einen Wert im Bereich von ungefähr 0,68% bis ungefähr 0,83%. Gemäß anderen Ausführungsformen kann eine Durchflussrate zwischen ungefähr 4 sccm und ungefähr 6 sccm für Sauerstoff (O2) oder gemäß noch weiteren Ausführungsformen zwischen ungefähr 4,5 sccm und ungefähr 5,5 sccm für Sauerstoff (O2) angewendet werden. Die Durchflussraten der anderen Komponenten der Prozessgase, die während des dielektrischen Ätzprozesses 122 verwendet werden, können in entsprechender Weise angepasst werden, um die jeweiligen gewünschten Volumenkonzentrationen zu erreichen.
  • Gemäß einer weiteren Ausführungsform enthält das Prozessgas, das während des dielektrischen Ätzprozesses 122 verwendet werden, ferner Argon (Ar). In anderen Ausführungsformen sind andere Edelgase enthalten. Gemäß einer Ausführungsform hat ein Verhältnis einer Volumenkonzentration des Argons (Ar) in Bezug auf eine Volumenkonzentration des Stickstoffs (N2) einen Wert im Bereich von ungefähr 9,6:1 bis ungefähr 14,4:1. Gemäß anderer Ausführungsformen hat das Verhältnis einer Volumenkonzentration des Argons (Ar) in Bezug auf eine Volumenkonzentration des Stickstoffs (N2) einen Wert im Bereich zwischen ungefähr 10,8:1 bis ungefähr 13,2:1. Gemäß einer Ausführungsform hat eine absolute Volumenkonzentration des Argons (Ar) einen Wert in einem Bereich zwischen ungefähr 72% und ungefähr 96%. Gemäß einer weiteren Ausführungsform liegt der Wert einer absoluten Volumenkonzentration des Argons (Ar) in einem Bereich zwischen ungefähr 81% und ungefähr 93%.
  • Gemäß einer weiteren Ausführungsform besteht das während des dielektrischen Ätzprozesses 122 verwendete Prozessgas aus Fluorkohlenstoff, beispielsweise Oktofluorbutan (C4F8), Stickstoff (N2), Sauerstoff (O2) und Argon (Ar). Dabei können die Konzentrationen dieser Komponenten die zuvor angegebenen Werte annehmen. Gemäß einer Ausführungsform besitzt die Gesamtdurchflussrate durch die Plasmakammer während des dielektrischen Ätzprozesses 122 einen Wert im Bereich von ungefähr 536 sccm bis ungefähr 804 sccm. Gemäß einer weiteren Ausführungsform liegt die Durchflussrate bei einem Wert im Bereich von ungefähr 603 sccm bis 737 sccm.
  • Gemäß einer Ausführungsform hat ein Gesamtdruck des Prozessesgases, das während des dielektrischen Ätzprozesses 122 verwendet wird, einen Wert im Bereich von ungefähr 12 bis 18 mTorr. Gemäß anderer Ausführungsformen liegt der Wert des Gesamtdruckes des Prozessgases, das während des dielektrischen Ätzprozesses 122 verwendet wird, einen Wert im Bereich von ungefähr 13,5 bis ungefähr 16,5 mTorr.
  • Gemäß einer weiteren Ausführungsform wird während des dielektrischen Ätzprozesses 122 ein Verhältnis einer Vorspannungsleistung pro Scheibeneinheitsfläche in Bezug auf eine Quellenleitung pro Scheibeneinheitsfläche auf einen Wert im Bereich von ungefähr 1,6:1 bis ungefähr 2,4:1 festgelegt. Gemäß einer weiteren Ausführungsform wird ein Verhältnis einer Vorspannungsleistung pro Scheibeneinheitsfläche in Bezug auf eine Quellenleistung pro Scheibeneinheitsfläche auf einen Wert im Bereich von ungefähr 1,8:1 bis ungefähr 2,2:1 festgelegt. Gemäß einer weiteren Ausführungsform wird eine Quellenleistung, die pro Scheibeneinheitsfläche zugeführt wird, auf einen Wert im Bereich von ungefähr 12,7 kW/m2 bis ungefähr 19,1 kW/m2 während des dielektrischen Ätzprozesses 122 festgelegt. Gemäß einer noch weiteren Ausführungsform wird eine Quellenleistung, die pro Scheibeneinheitsfläche zugeführt wird, auf einen Wert im Bereich von ungefähr 14,3 kW/m2 bis ungefähr 17,5 kW/m2 während des dielektrischen Ätzprozesses 1222 festgelegt. Entsprechend einer weiteren Ausführungsform wird eine pro Scheibeneinheitsfläche zugeführte Vorspannungsleistung auf einen Wert im Bereich von ungefähr 25,5 kW/m2 bis ungefähr 38 kW/m2 während des dielektrischen Ätzprozesses 122 eingestellt. Entsprechend einer weiteren Ausführungsform wird eine pro Scheibeneinheitsfläche zugeführt Vorspannungsleistung auf einen Wert im Bereich von ungefähr 28,7 kW/m2 bis ungefähr 35,0 kW/m2 während des dielektrischen Ätzprozesses 122 eingestellt.
  • Die Ätzzeit für den dielektrischen Ätzprozess 122 hängt von den angewendeten Prozessparametern und von der Dicke der dielektrischen Schicht 110 ab. Gemäß einer Ausführungsform der Erfindung nimmt die Ätzzeit für den Hauptätzprozess für die dielektrische Schicht 110 mit dem aus den dielektrischen Ätzprozessgasen erzeugten Plasma einen Wert von ungefähr 88 Sekunden bis ungefähr 132 Sekunden an. Gemäß einer weiteren Ausführungsform der Erfindung beträgt die Ätzzeit für den Hauptätzschritt ungefähr 99 Sekunden bis ungefähr 121 Sekunden.
  • 1c zeigt den Schichtstapel 100 aus 1b nach dem Ende des dielektrischen Ätzprozesses 122. Ein Kontaktloch 124 ist in der dielektrischen Schicht 110 geätzt. Gemäß der dargestellten Ausführungsform ätzt der dielektrische Ätzprozess 122 die dielektrische Schicht 110 selektiv, d. h. der dielektrische Ätzprozess 122 stoppt an der Ätzstoppschicht 108.
  • Gemäß einer Ausführungsform umfasst das in den 1a bis 1g dargestellte Verfahren das Ausführen eines Ätzprozesses 126, um die Ätzstoppschicht 108 zu ätzen, wie dies in 1c gezeigt ist. Dazu wird in dem Ätzprozess 126 ein Plasma erzeugt und die Ätzstoppschicht 108 wird mit diesem Plasma geätzt. Gemäß einer Ausführungsform umfasst das Prozessgas für den Ätzprozess 126 Fluorkohlenstoff. Gemäß einer Ausführungsform hat die Konzentration des Fluorkohlenstoffs einen Wert in einem Bereich zwischen ungefähr 18,6% und ungefähr 27,7%. Gemäß einer Ausführungsform hat die Konzentration von Fluorkohlenstoff einen Wert im Bereich von ungefähr 20,8% bis ungefähr 25,4%. Gemäß einer weiteren Ausführungsform umfasst der Fluorkohlenstoff Tetrafluormethan (CF4).
  • Entsprechend einer Ausführungsform weist das während des Ätzprozesses 126 verwendete Prozessgas Sauerstoff (O2) auf. In einer Ausführungsform hat die Konzentration von Sauerstoff (O2) in dem während des Ätzprozesses 126 verwendeten Prozessgas einen Wert im Bereich von ungefähr 6,2% bis ungefähr 9,2%. Entsprechend einer weiteren Ausführungsform hat die Konzentration von Sauerstoff (O2) in dem während des Ätzprozesses 126 verwendeten Prozessgas einen Wert im Bereich von ungefähr 6,9% bis ungefähr 8,5%.
  • Das während des Ätzprozesses 126 verwendete Prozessgas kann auch Stickstoff aufweisen. Gemäß einer Ausführungsform hat die Konzentration an Stickstoff (N2) in dem während des Ätzprozesses 126 verwendete Prozessgas einen Wert im Bereich von ungefähr 55,4% bis ungefähr 83,1%. In einer weiteren Ausführungsform hat die Volumenkonzentration an Stickstoff (N2) in dem während des Ätzprozesses 126 verwendeten Prozessgas einen Wert im Bereich von ungefähr 62,3% bis ungefähr 76,2%.
  • Entsprechend einer Ausführungsform wird während des Ätzprozesses 126 zum Ätzen der Ätzstoppschicht lediglich eine Vorspannungsleistung angelegt und eine Quellenleistung wird auf dem Wert Null eingestellt. In dieser Situation besitzt die Vorspannungsleistung, die pro Scheibeneinheitsfläche an die Scheibe angelegt wird, einen Wert im Bereich von ungefähr 3,8 kW/m2 bis ungefähr 5,7 kW/m2 während des Ätzprozesses 126 für die Ätzstoppschicht. Entsprechend einer weiteren Ausführungsform besitzt die Quellenleistung, die pro Scheibeneinheitsfläche angelegt wird, einen Wert im Bereich von ungefähr 4,3 kW/m2 bis ungefähr 5,3 kW/m2.
  • Der Gesamtdruck des Prozessgases, das während des Ätzprozesses 126 verwendet wird, besitzt einen Wert im Bereich von ungefähr 24 bis ungefähr 36 mTorr. Gemäß anderer Ausführungsformen hat der Gesamtdruck des während des Ätzprozesses 126 verwendeten Prozessgases einen Wert im Bereich von ungefähr 27 bis ungefähr 33 mTorr.
  • Das während des Ätzprozesses 126 verwendete Prozessgas kann auch Stickstoff (N2), Sauerstoff (O2) und Fluorkohlenstoff, etwa Tetrafluormethan (CF4) enthalten, wobei jede dieser Komponenten in einem Konzentrationsbereich vorliegt, wie dies zuvor dargelegt ist. Gemäß einer weiteren Ausführungsform hat die Gesamtdurchflussrate durch die Plasmakammer während des Ätzprozesses 126 für die Ätzstoppschicht einen Wert im Bereich von 104 sccm bis ungefähr 156 sccm. Entsprechend einer weiteren Ausführungsform hat die Durchflussrate einen Wert im Bereich von ungefähr 117 sccm bis ungefähr 143 sccm, In einer Ausführungsform beträgt die Ätzzeit für den Ätzprozess 126 zum Ätzen der Ätzstoppschicht ungefähr 32 Sekunden bis ungefähr 48 Sekunden. In einer weiteren Ausführungsform liegt die Ätzzeit für den Ätzprozess 126 zum Ätzen der Ätzstoppschicht bei ungefähr 36 Sekunden bis ungefähr 44 Sekunden.
  • 1d zeigt den Schichtstapel 100 nach dem Ende des Ätzprozesses 126 für die Ätzstoppschicht. Das Kontaktloch 124 erstreckt sich bis zu der leitenden Verdrahtung 104, und damit durch die Ätzstoppschicht 108. Gemäß einer weiteren Ausführungsform umfasst das erfindungsgemäße Verfahren einen Lackoxidationsprozess 128, beispielsweise einen Veraschungsprozess, wie dies in 1d gezeigt ist. Der Lackoxidationsprozess 128 umfasst das Erzeugen eines Plasmas aus einem sauerstoffenthaltenden Lackoxidationsprozessgas und das Anlegen dieses Plasmas an den Lack 114. Beispielsweise kann das Lackoxidationsprozessgas aus Sauerstoff (O2) bestehen, d. h. das Lackoxidationsprozessgas kann reines Sauerstoff sein. Gemäß der Ausführungsform, die in den 1a bis 1g gezeigt ist, liegt die Durchflussrate für Sauerstoff (O2) in Standardkubikzentimeter pro Minute (sccm) pro Scheibeneinheitsfläche der Scheibe bei einem Wert im Bereich von ungefähr 25,4 ksccm/m2 (1 ksccm = 1000 sccm) und ungefähr 38,2 ksccm/m2. Gemäß einer weiteren Ausführungsform liegt die Durchflussrate für Sauerstoff (O2) pro Scheibeneinheitsfläche der Scheibe bei einem Wert im Bereich zwischen ungefähr 28,6 ksccm/m2 ungefähr 35,0 ksccm/m2. In anderen Ausführungsformen können die Werte der Durchflussrate, die für eine 200 mm Scheibe erhalten wurden, auch auf Scheiben mit anderer Große ohne Skalierung angewendet werden.
  • Während des Lackoxidationsprozesses 128 besitzt gemäß einer Ausführungsform ein Druck in der Kammer einen Wert im Bereich zwischen ungefähr 240 mTorr und ungefähr 260 mTorr. In einer weiteren Ausführungsform hat der Druck in der Kammer einen Wert im Bereich zwischen ungefähr 270 mTorr bis ungefähr 330 mTorr.
  • In einer Ausführungsform besitzt während des Lackoxidationsprozesses eine Vorspannungsleistung pro Scheibeneinheitsfläche der Scheibe einen Wert im Bereich von ungefähr 5,09 kW/m2 bis ungefähr 7,64 kW/m2. Gemäß einer weiteren Ausführungsform wird während des Lackoxidationsprozesses eine Vorspannungsleistung pro Scheibeneinheitsfläche der Scheibe auf einen Wert im Bereich zwischen ungefähr 5,73 kW/m2 bis ungefähr 7,00 kW/m2 eingestellt.
  • In einer Ausführungsform wird während des Lackoxidationsprozesses eine Quellenleistung pro Scheibeneinheitsfläche der Scheibe auf einen Wert im Bereich zwischen ungefähr 38,2 kW/m2 bis ungefähr 57,3 kW/m2 eingestellt. In einer weiteren Ausführungsform wird während des Lackoxidationsprozesses 128 die Quellenleistung pro Scheibeneinheitsfläche der Scheibe auf einen Wert im Bereich zwischen ungefähr 43,0 kW/m2 bis ungefähr 52,5 kW/m2 eingestellt.
  • 1e zeigt den Schichtstapel 100 nach dem Ende des Lackoxidationsprozesses 126, d. h. nach dem Entfernen der Lackschicht 114. Erfindungsgemäß umfasst ein Verfahren eine der Ätzungen nachgeordnete Behandlung (PET) oder einen der Ätzung nachgeordneten Prozess 130, wie dies in 1e gezeigt ist. Der der Ätzung nachgeordnete Prozess 130 umfasst das Erzeugen eines Plasmas aus einem Prozessgas des nachgeordneten Prozesses und das Erzeugen dieses Plasmas für das Halbleiterbauelement. Da der Hauptätzvorgang für die dielektrische Schicht 110 mit dem Prozessgas für den Hauptätzschritt ausgeführt wurde, das eine Zusammensetzung gemäß einer Ausführungsform der Erfindung aufweist, ist nur ein geringer Anteil oder kein Polymer 2 an der Rückseitenabschrägung der Scheibe 6 ausgebildet. Jedoch können entsprechende Restpolymere 2 auf der Rückseitenabschrägung auf der Scheibe 6 durch die den Ätzvorgang nachgeordnete Behandlung 130 entfernt werden, wie dies nachfolgend beschrieben ist. Es sollte beachtet werden, dass diese der Ätzung nachgeordnete Behandlung 130 auch Restpolymere 2 aus dem Kontaktloch 124 entfernt.
  • Erfindungsgemäß enthält das während der Ätzung nachgeordneten Behandlung 130 verwendete Prozessgas Sauerstoff. In einer Ausführungsform beträgt die Konzentration des Sauerstoffs (O2) in dem Prozessgas des nachgeordneten Prozesses einen Wert im Bereich zwischen ungefähr 53,3% bis ungefähr 80%. In einer weiteren Ausführungsform liegt der Wert der Konzentration des Sauerstoffs (O2) in dem Prozessgas des nachgeordneten Prozesses zwischen ungefähr 60,0% bis ungefähr 73,3%.
  • Erfindungsgemäß enthält das während der der Ätzung nachgeordneten Behandlung 130 verwendete Prozessgas Ammoniak (NH3). In einer Ausführungsform beträgt der Wert der Konzentration des Ammoniaks (NH3) in dem während der der Ätzung nachgeordneten Behandlung 130 verwendeten Prozessgases in einem Bereich zwischen ungefähr 26,7% bis ungefähr 40,0%. In einer weiteren Ausführungsform liegt der Wert der Volumenkonzentration von Ammoniak (NH3) in dem während der der Ätzung nachgeordneten Behandlung 130 verwendeten Prozessgases in einem Bereich zwischen ungefähr 30,0% bis ungefähr 36,7%. In einer anschaulichen Ausführungsform besteht das während der der Ätzung nachgeordneten Behandlung 130 verwendete Prozessgas aus Sauerstoff (O2) und Ammoniak (NH3), wobei die entsprechenden Volumenkonzentrationen die zuvor genannten Werte annehmen.
  • In einer Ausführungsform liegt während dem der Ätzung nachgeordneten Prozess 130 ein Wert des Verhältnisses einer Vorspannungsleistung pro Scheibeneinheitsfläche in Bezug auf eine Quellenleistung pro Scheibeneinheitsfläche in einem Bereich von ungefähr 0,11:1 bis ungefähr 0,16:1. Gemäß einer weiteren Ausführungsform liegt der Wert des Verhältnisses einer Vorspannungsleistung pro Scheibeneinheitsfläche in Bezug auf eine Quellenleistung pro Scheibeneinheitsfläche in eine Bereich von ungefähr 0,12:1 bis ungefähr 0,15:1. In einer weiteren Ausführungsform liegt der Wert einer Quellenleistung, die pro Scheibeneinheitsfläche zugeführt wird, in einem Bereich von ungefähr 38,2 kW/m2 bis ungefähr 57,3 kW/m2 während der der Ätzung nachgeordneten Behandlung 130. In einer weiteren Ausführungsform der Erfindung liegt ein Wert einer Quellenleistung, die pro Scheibeneinheitsfläche zugeführt wird, in einem Bereich von ungefähr 43,0 kW/m2 bis ungefähr 52,5 kW/m2 während der der Ätzung nachgeordneten Behandlung 130. In einer Ausführungsform der Erfindung liegt ein Wert einer Vorspannungsleistung, die pro Scheibeneinheitsfläche zugeführt wird, in einem Bereich von ungefähr 5,09 kW/m2 bis ungefähr 7,6 kW/m2 während dem der Ätzung nachgeordneten Prozess 130. In einer weiteren Ausführungsform der Erfindung liegt ein Wert einer Vorspannungsleistung, die pro Scheibeneinheitsfläche zugeführt wird, in einem Bereich von ungefähr 5,73 kW/m2 bis ungefähr 7,00 kW/m2 während dem der Ätzung nachgeordneten Prozess 130.
  • In einer Ausführungsform wird ein Wert für den Gesamtdruck des Prozessgases, das während der der Ätzung nachgeordneten Behandlung 130 verwendet wird, in einem Bereich von ungefähr 24 mTorr bis ungefähr 36 mTorr. In anderen Ausführungsformen liegt ein Wert des Gesamtdruckes des Prozessgases, das während der der Ätzung nachgeordneten Behandlung 130 verwendet wird, in einem Bereich von ungefähr 27 mTorr bis ungefähr 33 mTorr.
  • Ein einer Ausführungsform enthält das während der der Ätzung nachgeordneten Behandlung 130 verwendete Prozessgas Sauerstoff (O2) und Ammoniak (NH3), wobei diese beiden Komponenten entsprechend einem Konzentrationsbereich vorgesehen sind, wie er zuvor offenbart ist. In einer Ausführungsform liegt der Wert der Gesamtdurchflussrate durch die Plasmakammer während der der Ätzung nachgeordneten Behandlung 130 in einem Bereich zwischen ungefähr 180 sccm bis ungefähr 270 sccm. In einer weiteren Ausführungsform liegt der Wert der Durchflussrate in einem Bereich zwischen ungefähr 203 sccm bis 248 sccm.
  • In einer Ausführungsform liegt der Wert für die Ätzzeit für den der Ätzung nachgeordneten Prozess 130 zwischen ungefähr 24 Sekunden und ungefähr 36 Sekunden. In einer weiteren Ausführungsform beträgt die Ätzzeit für den der Ätzung nachgeordneten Prozess 130 ungefähr 27 Sekunden bis ungefähr 33 Sekunden.
  • Die zuvor dargestellten Gesamtdurchflussraten und Leistungsangaben können in einer beispielhaften Ausführungsform für eine 200 mm Scheibe eingesetzt werden. Jedoch können diese Werte auch unverändert für andere Scheibengrößen verwendet werden oder können in geeigneter Weise skaliert werden, um ähnliche Ergebnisse zu erreichen. Beispielsweise kann die Gesamtdurchflussrate und/oder die Quellenleistung und/oder die Vorspannungsleistung linear mit der Oberfläche der verwendete Scheibe skaliert werden. Es sollte beachtet werden, dass die obigen Prozesse in ihrer Reihenfolge variiert werden könne. Beispielsweise kann der Lackoxidationsprozess 128 vor den Ätzprozess 126 vom Ätzen der Ätzstoppschicht ausgeführt werden. Des weiteren können zusätzliche Prozesse durchgeführt werden oder es ist nur ein Teil der obigen Prozesse auszuführen.
  • In einer Ausführungsform wird mindestens der Hauptätzprozess 122 mit einer Kathodentemperatur im Bereich zwischen ungefähr 40 Grad C und ungefähr 60 Grad C ausgeführt. In einer weiteren Ausführungsform wird zumindest der Hauptätzprozess 122 mit einer Kathodentemperatur im Bereich von ungefähr 40 Grad C bis ungefähr 55 Grad C ausgeführt. In anderen Ausführungsformen wird die entsprechende Kathodentemperatur während des Hauptätzprozesses 122 auch beim Durchbruchätzprozess 120 und/oder den Ätzprozess 126 zum Ätzen der Ätzstoppschicht und/oder den Lackoxidationsprozess 128 und/oder der Ätzung nachgeordneten Behandlung 130 angewendet.
  • Nach dem Ausführen der der Ätzung nachgeordneten Behandlung kann das Kontaktloch 124 mit einem leitenden Material entsprechend gut bekannter Prozesse gefüllt werden. In einer Ausführungsform bildet das leitende Material einen Metallpfropfen, wobei eine beispielhafte Ausführungsform in 1f gezeigt ist. Auf einer Oberfläche 132 des Kontaktlochs 124 ist eine Barrierenschicht 134, z. B. aus Tantal (Ta) oder Tantelnitrid (TaN) gebildet. Auf der Barrierenschicht 134 ist eine Saatschicht 136, z. B. eine Kupfersaatschicht, gebildet. Die Barrierenschicht 134 und die Saatschicht 136 können durch ein geeignetes Verfahren hergestellt werden, beispielsweise durch chemische Dampfabscheidung (CVD) oder physikalische Dampfabscheidung (PVD) oder Sputtern. Auf der Saatschicht ist ein weiteres leitendes Material 138 (beispielsweise Kupfer) gebildet. Z. B. kann der Raumbereich, der durch die Kupfersaatschicht definiert ist, mit Kupfer 128 aufgefüllt werden, z. B. durch Elektroplattieren oder stromloses Plattieren.
  • In konventionellen Systemen, d. h. durch Anwenden konventioneller Ätzverfahren, kann das Abblättern an der Rückseitenscheibenabschrägung 4 auftreten, insbesondere nach dem Abscheiden der Barrierenschicht/Saatschicht. Im Gegensatz dazu wird durch Anwenden des erfindungsgemäßen Verfahrens oder der Ausführungsformen davon das Materialablösen im Wesentlichen reduziert oder vollständig vermieden. Die 2a bis 2c zeigen Auger-Tiefenprofile an unterschiedlichen Positionen an der Rückseitenscheibenabschrägung 4 nach dem Ausführen der durch die 1a bis 1f gezeigten Prozesse. Die 2a bis 2c zeigen die Intensität I des Auger-Signals als Funktion der Sputter-Zeit, d. h. als eine Funktion der Tiefe. Die Intensität I und die Sputter-Zeit t sind in willkürlichen Einheiten angegeben und werden für eine qualitative Analyse betrachtet. Aus den 2a bis 2c erkennt man, dass die Messposition, an der die Auger-Tiefenprofile genommen wurden, in Richtung des Zentrums der Scheibe variiert. Diese Änderung der Messposition ist durch die Pfeile 160 zwischen den 2a, 2b bzw. 2c angegeben. In den in den 2a bis 2c gezeigten Auger-Tiefenprofilen können keine Fluorkohlenstoffpolymere beobachtet werden. Es kann nur Siliziumnitrid, das in den 2a und 2b als 162 gekennzeichnet ist, an der Rückseitenabschrägung 4 beobachtet werden. D. h., eine Ausführungsform eines Verfahrens, wie es durch die 1a bis 1e beschrieben ist, ist in der Lage, ein Kontaktloch oder eine andere Vertiefung in einem Zwischenschichtdielektrikum zu bilden, ohne dass eine wesentliche Konzentration an Polymeren 2 an der Rückseitenscheibenabschrägung 4 zurückbleibt.
  • 3 zeigt eine Plasmaätzeinrichtung 200 gemäß einer anschaulichen Ausführungsform. Die beispielhafte Plasmaätzanlage 200 ist eine kapazitiv gekoppelt Plasmaeinrichtung, etwa eine kapazitiv gekoppelte Plasmaätzanlage mit mehreren Frequenzen. Es können auch induktiv gekoppelte Plasmaätzeinrichtungen in Verbindung mit dem erfindungsgemäßen Verfahren oder den Ausführungsformen eingesetzt werden. Ein Gehäuse 201 enthält eine Plasmakammer 202, die eine Kathode 203 zum Erzeugen eines Plasmas aus einem Prozessgas 204 aufweist. Das Prozessgas wird der Kammer 202 aus einer Gaszufuhr 205 über eine Gaszufuhrleitung 206 und durch einen Prozessgasauslass 208 zugeführt. Die Gaszufuhr 205 wird über eine Gaszufuhrsteuerleitung 211 mittels einer Steuereinheit 212 gesteuert. Die Kathode umfasst eine Temperaturaufbereitungseinrichtung 210, die durch Steuereinheit 212 über eine Temperatursteuerleitung 213 gesteuert wird. Die Steuereinheit 212 steuert ferner die Zufuhr an elektrischer Energie zu der Kathode 203 über eine elektrische Speiseleitung 214. Die Kathode 203 und die Temperaturaufbereitungseinrichtung können ein Teil einer Kathodenanordnung 216 sein, die einen Aufnahmebereich 218 zur Aufnahme eines Substrats 220 aufweist, das in der Plasmaätzeinrichtung 200 zu behandeln ist.
  • Die Steuereinheit 212 ist ausgebildet, das erfindungsgemäße Verfahren oder die entsprechenden Ausführungsformen auszuführen. In einer Ausführungsform ist die Steuereinheit 212 so aufgebaut, dass die Gaszufuhr 205 gesteuert wird. In einer weiteren Ausführungsform ist die Steuereinheit 212 ausgebildet, eine Leistungszufuhr 222 zur Bereitstellung einer entsprechenden elektrischen Energie zu steuern, beispielsweise die Quellenleistung und die Vorspannungsleistung für die Kathode. in einer weiteren Ausführungsform ist die Steuereinheit ausgebildet, die Temperaturaufbereitungseinrichtung 210 so zu steuern, dass eine gewünschte Kathodentemperatur beibehalten wird. Die Steuereinheit 212 umfasst individuelle Komponenten für jede der Steuerfunktionen der Steuereinheit 212. Die einzelnen Komponenten können in eine einzelne Einrichtung integriert sein oder können aus individuellen Einrichtungen aufgebaut sein. Die einzelnen Komponenten können in Hardware oder falls möglich in Software eingerichtet sein. Dazu kann die Steuereinheit 212 einen Prozessor aufweisen, dem ein Computerprogrammprodukt zugeführt wird, das den Prozessor in die Lage versetzt, dass Verfahren gemäß der vorliegenden Erfindung oder einer Ausführungsform davon auszuführen.
  • Temperaturaufbereitungseinrichtungen, wie sie hierin erläutert sind, können Temperatursensoren aufweisen, um eine geregelte Temperatur einzurichten. Temperaturaufbereitungseinrichtungen, wie sie hierin erläutert sind, können durch ein beliebiges geeignetes Verfahren betrieben werden, beispielsweise durch Zuführen von Leistung zu elektrischen Heizelementen, Steuern der Zufuhr eines Kühlmittels zu der Temperaturaufbereitungseinrichtung, etc. In diesem Sinne umfassen die Steuerleitungen 213 zu den Temperaturaufbereitungseinrichtungen 210 Kühlleitungen oder auch elektrische Verbindungsdrähte.
  • Die hierin dargestellten Plasmaätzeinrichtungen können Spulen (nicht gezeigt) zum Erzeugen eines magnetischen Feldes aufweisen, das geeignet ist, das Plasma in der Plasmakammer 202 zu steuern.
  • Es gilt also: Ein Verfahren mit einem dielektrischen Ätzprozess wird so bereitgestellt, dass das Ablösen eines Polymers 2, das an einer Rückseitenabschrägung 4 einer Scheibe B abgeschieden wird und damit verknüpfte Probleme vermieden werden. Durch Anwendung des erfindungsgemäßen Verfahrens oder einer Ausführungsform davon kann somit die Ausbeute bei der Halbleiterherstellung verbessert werden. Die Ausführungsformen steuern die Rückseitenpolymerabscheidung während des Ätzprozesses auf zwei Arten. Zuerst wird die Rückseitenpolymerabscheidung im Wesentlichen vermieden oder reduziert während aller Ätzprozessschritte. Erstens, ein Rückseitenpolymer, das während eines erfindungsgemäßen Ätzprozesses gebildet wird, wird in-situ während des integrierten Lackabtrageprozesses entfernt. Dies wird durch die folgende Parameteroptimierung erreicht: Zuerst, werden Temperaturgradienten innerhalb der Prozesskammer minimiert oder vermieden, so dass eine oder nur eine geringe Kondensation an CxFy-Fragmenten auftreten kann; Zweitens, es wird eine erhöhte Kammer/Kathodentemperatur geschaffen, um damit die Prozesschemie so zu verschieben, dass weniger CxFy-Fragmente erzeugt werden. Die Quellenleistung wird erhöht, so dass eine höhere Fragmentierung der CxFy-Prozessgase erreicht wird, so dass damit eine geringere Polymerisierung auftritt Eine Reduzierung der Vorspannungsleistung fuhrt zu einem geringeren Ionenbeschuss, so dass der Prozess in Richtung eines mehr chemischen Ätzens verschoben wird und damit ein geringerer Ionenbeschuss/Reflektion an der Scheibenabschrägung auftritt; Viertens, es wird die Ätzchemie durch Hinzufügen eines Prozessgases geändert, beispielsweise N2, wodurch das Aufbauen eines Rückseitenpolymers vermieden wird oder wodurch das abgeschiedene Rückseitenpolymer so modifiziert wird, dass es in-situ entfernt werden kann.
  • Eine optimale Kombination der zuvor dargelegten Prozessparameter führt zu einem Zwischenschichtdielektrikumsätzprozess, in welchem die erforderlichen Sollparameter eingehalten werden, wie: die Formen der Kontaktlöcher, CD-Erfordernisse; CFx-polymerfreie Scheibenabschrägungen, die keine zusätzlichen nasschemische Reinigung der Rückseite erfordern; und die Möglichkeit eines materialablösefreien Prozesses mit hoher Ausbeute.
  • Gemäß einer anschaulichen Ausführungsform wird die Kathodentemperatur bei ungefähr 50 Grad C gehalten. Während eines Hauptätzprozesses eines Zwischenschichtdielektrikums wird Stickstoff als Prozessgasen zugeführt. Des weiteren wird eine relativ hohe Quellenleistung eingesetzt. Des weiteren wird eine relativ geringe Vorspannungsleistung verwendet.
  • Die hierin offenbarten Prinzipien können mit einem hohen Maß an Prozesskompatibilität zu konventionellen Lösungen eingesetzt werden. Es sollte beachtet werden, dass, obwohl in den dargestellten Ausführungsformen spezielle Fluorkohlenstoffverbindungen offenbart sind, beliebige geeignete Kohlenstoff-Fluor-Verbindungen oder Kohlenstoff/Wasserstoff/Fluor-Verbindungen anstelle der offenbarten speziellen Beispiele eingesetzt werden können.
  • Weitere Modifizierungen und Variationen der vorliegenden Offenbarung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Ausführungsformen zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (15)

  1. Verfahren zum Strukturieren einer dielektrischen Schicht eines Halbleiterbauelements, wobei das Verfahren umfasst: Bereitstellen eines Halbleiterbauelements mit einer Metallisierungsschicht und einer dielektrischen Schicht benachbart zu der Metallisierungsschicht; Bereitstellen einer Maskenschicht auf der dielektrischen Schicht, wobei die Maskenschicht unmaskierte Gebiete der dielektrischen Schicht definiert; Bereitstellen eines Plasmas auf der Grundlage eines Prozessgases für das Ätzen eines Dielektrikums, wobei das Prozessgas für das Ätzen des Dielektrikums Fluorkohlenwasserstoff und N2 enthält; Ätzen der dielektrischen Schicht mit dem Plasma in den nicht maskierten Gebieten, wodurch die dielektrische Schicht strukturiert wird; Erzeugen eines Plasmas aus einem Prozessgas für einen dem Ätzen nachgeordneten Prozess, wobei das Prozessgas des dem Ätzen nachgeordneten Prozesses O2 und NH3 aufweist, und dem Ätzen nachgeordnetes Bearbeiten des Halbleiterbauelements mithilfe des aus dem Prozessgas für einen dem Ätzen nachgeordneten Prozess erzeugten Plasmas.
  2. Verfahren nach Anspruch 1, wobei das Verhältnis einer Volumenkonzentration des Fluorkohlenwasserstoffs in Bezug auf eine Volumenkonzentration des N2 einen Wert im Bereich zwischen 0,24:1 bis 0,36:1 aufweist.
  3. Verfahren nach Anspruch 2, wobei die mindestens eine Fluorkohlenwasserstoff-Komponente C4F8 aufweist oder aus C4F8 besteht.
  4. Verfahren nach Anspruch 1, wobei das Prozessgas zum Ätzen eines Dielektrikums O2 enthält.
  5. Verfahren nach Anspruch 4, wobei ein Verhältnis einer Volumenkonzentration des O2 in Bezug auf eine Volumenkonzentration des Stickstoffs N2 einen Wert im Bereich zwischen 0,08:1 bis 0,12:1 aufweist.
  6. Verfahren nach Anspruch 1, wobei das Prozessgas zum Ätzen eines Dielektrikums Argon enthält.
  7. Verfahren nach Anspruch 6, wobei ein Verhältnis einer Volumenkonzentration des Argons in Bezug auf eine Volumenkonzentration des N2 einen Wert im Bereich zwischen 9,6:1 bis 14:1 aufweist.
  8. Verfahren nach Anspruch 1, wobei der Gesamtdruck des Prozessgases zum Ätzen eines Dielektrikums einen Wert im Bereich von 12 bis 18 mTorr aufweist.
  9. Verfahren nach Anspruch 1, wobei ein Verhältnis einer Vorspannungsleistung pro Scheibeneinheitsfläche in Bezug auf eine Quellenleistung pro Scheibeneinheitsfläche einen Wert im Bereich von 1,6:1 bis 2,4:1 aufweist.
  10. Verfahren nach Anspruch 1, wobei Ätzen der dielektrischen Schicht mit dem Plasma auf der Grundlage des Prozessgases zum Ätzen eines Dielektrikums ein Hauptätzprozess ist, und wobei das Verfahren ferner umfasst: einen Durchbruchätzprozess mit einem Plasma auf der Grundlage eines Durchbruchsprozessgases vor dem Hauptätzprozess; wobei das Durchbruchsprozessgas Fluorkohlenwasserstoff aufweist.
  11. Verfahren nach Anspruch 10, wobei der Fluorkohlenwasserstoff CF4 und CHF3 enthält.
  12. Verfahren nach Anspruch 10, wobei der Gesamtdruck des Durchbruchsprozessgases einen Wert im Bereich von 80 bis 120 mTorr aufweist.
  13. Verfahren nach Anspruch 10, wobei während des Durchbruchprozesses ein Verhältnis einer Vorspannungsleistung einer Einheitsfläche in Bezug auf eine Quellenleistung pro Scheibeneinheitsfläche einen Wert im Bereich von 3,2:1 bis 4,8:1 annimmt.
  14. Verfahren nach Anspruch 1, wobei das Verfahren ferner umfasst: Ätzen einer Ätzstoppschicht, wobei das Ätzen der Ätzstoppsschicht umfasst: Erzeugen eines Plasmas aus einem Prozessgas zum Ätzen der Ätzstoppschicht, wobei das Prozessgas zum Ätzen der Ätzstoppschicht N2, O2 und Fluorkohlenwasserstoff enthält.
  15. Verfahren nach Anspruch 1, wobei eine Kathodentemperatur bei 50 Grad C während des Ätzens der dielektrischen Schicht gehalten wird, wobei das Plasma auf der Grundlage des stickstoffenthaltenden Prozessgases für das Ätzen eines Dielektrikums verwendet wird.
DE102007009913A 2007-02-28 2007-02-28 Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika Active DE102007009913B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102007009913A DE102007009913B4 (de) 2007-02-28 2007-02-28 Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika
US11/867,972 US8062982B2 (en) 2007-02-28 2007-10-05 High yield plasma etch process for interlayer dielectrics

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102007009913A DE102007009913B4 (de) 2007-02-28 2007-02-28 Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika

Publications (2)

Publication Number Publication Date
DE102007009913A1 DE102007009913A1 (de) 2008-09-04
DE102007009913B4 true DE102007009913B4 (de) 2012-10-18

Family

ID=39670003

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102007009913A Active DE102007009913B4 (de) 2007-02-28 2007-02-28 Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika

Country Status (2)

Country Link
US (1) US8062982B2 (de)
DE (1) DE102007009913B4 (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8963156B2 (en) 2013-02-22 2015-02-24 Micron Technology, Inc. Semiconductor devices including WiSX
GB201708927D0 (en) * 2017-06-05 2017-07-19 Spts Technologies Ltd Methods of plasma etching and plasma dicing
KR20210025744A (ko) 2019-08-27 2021-03-10 삼성전자주식회사 기판 가장자리의 베벨 식각 장치 및 그를 이용한 반도체 소자의 제조 방법
CN111574071B (zh) * 2020-06-01 2022-06-24 中建材玻璃新材料研究院集团有限公司 一种高透过宽色系盖板玻璃的制备方法
CN115586712B (zh) * 2022-10-09 2023-09-22 亚新半导体科技(无锡)有限公司 节能型晶圆生产用去胶清洗设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6080662A (en) * 1998-11-04 2000-06-27 Vanguard International Semiconductor Corporation Method for forming multi-level contacts using a H-containing fluorocarbon chemistry
EP1367638A1 (de) * 2001-03-08 2003-12-03 Tokyo Electron Limited Verfahren zum ätzen eines organischen isolationsfilms und doppel-damaskus-prozess
US20040106300A1 (en) * 2002-11-29 2004-06-03 Young Jung Woo Soft-landing etching method using doping level control
JP2004172456A (ja) * 2002-11-21 2004-06-17 Seiko Epson Corp 半導体装置の製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6120697A (en) * 1997-12-31 2000-09-19 Alliedsignal Inc Method of etching using hydrofluorocarbon compounds
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US7115517B2 (en) * 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
KR20040067817A (ko) 2003-12-17 2004-07-30 배영환 애완동물 세척장치 및 방법
US7015133B2 (en) * 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
US7067435B2 (en) * 2004-09-29 2006-06-27 Texas Instruments Incorporated Method for etch-stop layer etching during damascene dielectric etching with low polymerization
US7285853B2 (en) * 2005-02-17 2007-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Multilayer anti-reflective coating for semiconductor lithography and the method for forming the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6080662A (en) * 1998-11-04 2000-06-27 Vanguard International Semiconductor Corporation Method for forming multi-level contacts using a H-containing fluorocarbon chemistry
EP1367638A1 (de) * 2001-03-08 2003-12-03 Tokyo Electron Limited Verfahren zum ätzen eines organischen isolationsfilms und doppel-damaskus-prozess
JP2004172456A (ja) * 2002-11-21 2004-06-17 Seiko Epson Corp 半導体装置の製造方法
US20040106300A1 (en) * 2002-11-29 2004-06-03 Young Jung Woo Soft-landing etching method using doping level control

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
KR 20050067817 A. Thomson-Derwent-abstract, 2006, WPI [online]. acc.# 2006-498273 [51], In: STN *

Also Published As

Publication number Publication date
DE102007009913A1 (de) 2008-09-04
US20080202685A1 (en) 2008-08-28
US8062982B2 (en) 2011-11-22

Similar Documents

Publication Publication Date Title
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102007020268B3 (de) Halbleiterbauelement und Verfahren zum Verhindern der Ausbildung von elektrischen Kurzschlüssen aufgrund von Hohlräumen in der Kontaktzwischenschicht
DE102010002451B4 (de) Verfahren zur Herstellung von Kontaktelementen von Halbleiterbauelementen
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102009023251A1 (de) Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung
DE102005057075A1 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht
DE102007009913B4 (de) Plasmaätzprozess mit hoher Ausbeute für Zwischenschichtdielektrika
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102009010844B4 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE10261466A1 (de) Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
DE102009046260B4 (de) Halbleiterbauelement und Verfahren zur Herstellung eines Halbleiterbauelements
DE102007022621B4 (de) Verfahren zur Herstellung einer dielektrischen Deckschicht für eine Kupfermetallisierung unter Anwendung einer thermisch-chemischen Behandlung auf Wasserstoffbasis
DE102005046976B4 (de) Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
DE10240176A1 (de) Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren
DE102007035837A1 (de) Halbleiterbauelement mit einer Kornorientierungsschicht
DE102006041004B4 (de) Technik zum Reduzieren plasmainduzierter Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika
DE102005004409B4 (de) Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
DE102010038736A1 (de) Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht
DE102011004581A1 (de) Technik zur Reduzierung der plasmahervorgerufenen Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika durch modifizierten HF-Leistungshochlauf
DE102008045036B4 (de) Verringern kritischer Abmessungen von Kontaktdurchführungen und Kontakten über der Bauteilebene von Halbleiterbauelementen
EP1132957B1 (de) Verfahren zur Herstellung einer Leiterstruktur für einen integrierten Schaltkreis

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20130119

R081 Change of applicant/patentee

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TW

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES, INC., SUNNYVALE, CALIF., US

R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE