DE102009023251A1 - Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung - Google Patents

Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung Download PDF

Info

Publication number
DE102009023251A1
DE102009023251A1 DE102009023251A DE102009023251A DE102009023251A1 DE 102009023251 A1 DE102009023251 A1 DE 102009023251A1 DE 102009023251 A DE102009023251 A DE 102009023251A DE 102009023251 A DE102009023251 A DE 102009023251A DE 102009023251 A1 DE102009023251 A1 DE 102009023251A1
Authority
DE
Germany
Prior art keywords
contact
width
opening
contact opening
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102009023251A
Other languages
English (en)
Other versions
DE102009023251B4 (de
Inventor
Kai Frohberg
Frank Feustel
Thomas Werner
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102009023251A priority Critical patent/DE102009023251B4/de
Priority to US12/785,726 priority patent/US20100301486A1/en
Publication of DE102009023251A1 publication Critical patent/DE102009023251A1/de
Application granted granted Critical
Publication of DE102009023251B4 publication Critical patent/DE102009023251B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Abstract

Kontaktelemente komplexer Halbleiterbauelemente werden hergestellt, indem diese lithographisch strukturiert werden, indem ein Abstandshalterelement zum Definieren einer endgültigen kritischen Breite vorgesehen wirdn und indem eine Breite der Kontaktöffnung vor dem Abscheiden des Abstandshaltermaterials vergrößert wird. Die Breite wird etwa durch Ionen-Sputtern vergrößert, woraus sich bessere Prozessbedingungen während des Abscheidens eines Kontaktmetalls ergeben. Folglich kann die Wahrscheinlichkeit des Erzeugens von Kontaktausfällen für Kontaktelemente mit kritischen Abmessungen von ungefähr 50 nm und weniger deutlich verringert werden.

Description

  • Gebiet der vorliegenden Erfindung
  • Die vorliegende Erfindung betrifft allgemein das Gebiet der Halbleiterherstellung und betrifft insbesondere die Herstellung von Verbindungsstrukturen, die direkt mit einem Schaltungselement in Verbindung stehen.
  • Beschreibung des Stands der Technik
  • Halbleiterbauelemente, etwa moderne integrierte Schaltungen, enthalten typischerweise eine große Anzahl an Schaltungselementen, etwa Transistoren, Kondensatoren, Widerstände und dergleichen, die für gewöhnlich in einer im Wesentlichen ebenen Konfiguration auf einem geeigneten Substrat hergestellt sind, auf dem eine Halbleiterschicht gebildet ist. Auf Grund der großen Anzahl an Schaltungselementen und der erforderlichen komplexen Schaltungsanordnung moderner integrierter Schaltungen können dielektrische Verbindungen der einzelnen Schaltungselemente im Allgemeinen nicht in der gleichen Ebene hergestellt werden, in der die Schaltungselemente ausgebildet sind, sondern es ist eine Vielzahl zusätzlicher „Verdrahtungsschichten” erforderlich, die auch als Metallisierungsschichten bezeichnet werden. Diese Metallisierungsschichten enthalten typischerweise metallenthaltende Leitungen, die die elektrische Verbindung innerhalb der Ebene schaffen, und enthalten auch eine Vielzahl von Zwischenebenenverbindungen, die auch als „Kontaktdurchführungen” bezeichnet sind, die mit einem geeigneten Metall gefüllt sind und die für die elektrische Verbindung zwischen den benachbarten gestapelten Metallisierungsschichten sorgen.
  • Auf Grund der ständigen Verringerung der Strukturgrößen von Schaltungselementen in modernen integrierten Schaltungen steigt auch die Anzahl an Schaltungselementen bei einer vorgegebenen Chipfläche an, d. h. die Packungsdichte wird größer, wodurch ein überproportionaler Zuwachs in der Anzahl der elektrischen Verbindungen erforderlich ist, um die gewünschte Schaltungsfunktion zu erreichen. Daher wächst für gewöhnlich die Anzahl der gestapelten Metallisierungsschichten an, wenn die Anzahl der Schaltungselemente pro Chipfläche größer wird, wobei dennoch die Größe der einzelnen Metallleitungen und Kontaktdurchführungen reduziert werden.
  • In ähnlicher Weise muss die Kontaktstruktur des Halbleiterbauelements, die als eine Schnittstelle zur Verbindung der Schaltungselemente der Bauteilebene mit Metallisierungssystem betrachtet wird, an die geringeren Strukturgrößen in der Bauteilebene und in dem Metallisierungssystem angepasst werden. Aus diesem Grunde müssen sehr komplexe Strukturierungsstrategien angewendet werden, um die Kontaktelemente mit der erforderlichen Dichte und mit den geeigneten geringen Abmessungen, zumindest auf der Bauteilseite, vorzusehen, um damit in geeigneter Weise mit Kontaktgebieten, Drain- und Sourcegebieten, Gateelektrodenstrukturen, und dergleichen, in Verbindung zu treten, ohne zu ausgeprägten Leckstrompfaden oder sogar Kurzschlüssen und dergleichen beizutragen. In vielen konventionellen Vorgehensweisen werden die Kontaktelemente und Kontaktpfropfen typischerweise unter Anwendung eines Metalls auf Wolframbasis in einem dielektrischen Zwischenschichtstapel hergestellt, der typischerweise aus Siliziumdioxid in Verbindung mit einem Ätzstoppmaterial, etwa Siliziumnitrid, aufgebaut ist. Auf Grund der sehr geringen kritischen Abmessungen der Schaltungselemente, etwa der Transistoren, müssen die jeweiligen Kontaktelemente auf der Grundlage von Kontaktöffnungen mit einem Aspektverhältnis hergestellt werden, das ungefähr 8:1 oder höher ist, wobei ein Durchmesser der Kontaktöffnungen 0,1 μm oder deutlich weniger für Transistorbauelemente beispielsweise der 65 nm-Technologie beträgt. In noch anspruchsvolleren Vorgehensweisen und sehr dicht gepackten Bauteilgebieten kann die Breite der Kontaktöffnungen 50 nm oder weniger betragen. Im Allgemeinen ist ein Aspektverhältnis derartiger Kontaktöffnungen als das Verhältnis der Tiefe der Öffnung zu der Breite der Öffnung definiert.
  • Nach dem Bereitstellen der Kontaktöffnung mit der erforderlichen minimalen Breite muss ein geeignetes leitendes Material, etwa Wolfram in Verbindung mit einem geeigneten Barrierenschichtsystem abgeschieden werden, das typischerweise auf der Grundlage einer Sputterabscheidetechnik, etwa für die Barrierenmaterialien, und durch CVD-artige Prozessrezepte zur Herstellung des Wolframmaterials erreicht wird. Während des Abscheideprozesses führt das große Aspektverhältnis der Kontaktöffnungen zu sehr anspruchsvollen Abscheidebedingungen, wenn ein im Wesentlichen hohlraumfreier Einbau des Wolframmaterials in die Kontaktöffnungen zu erfolgen hat, da ansonsten ein deutlich erhöhter Gesamtkontaktwiderstand verursacht wird. Beim weiteren Verringern der kritischen Abmessungen der Kontaktelemente müssen entsprechende Ätzmasken auf der Grundlage eines geeigneten Lackmaterials vorgesehen werden, das unter Anwendung aufwendiger Lithographie technik zu strukturieren ist. Auf Grund des großen Aspektverhältnisses der Öffnung, die in dem dielektrischen Zwischenschichtmateriai zu bilden ist, erfordert das Strukturieren der Ätzmaske und des dielektrischen Zwischenschichtmaterials weitere Strategien, um schließlich die gewünschte kritische Abmessung der Kontaktöffnungen einzustellen. In einigen Vorgehensweisen wird die endgültige kritische Abmessung eingestellt, indem ein Lithographieprozess und eine Berührungsstrategie ausgeführt werden, um eine Basiskontaktöffnung zu schaffen, die nachfolgend mit einem dielektrischen Beschichtungsmaterial ausgekleidet wird, um die effektive Breite der Öffnung zu reduzieren. Obwohl diese Vorgehensweise sehr vielversprechend bei der weiteren Verringerung der kritischen Breite von Kontaktelementen bei vorgegebenen Lithographiemöglichkeiten ist, treten zusätzliche Probleme beim weiteren Reduzieren der gewünschten kritischen Breite auf, wie dies nachfolgend mit Bezug zu den 1a bis 1d detaillierter erläutert ist.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem Substrat 101, etwa einem Siliziumsubstrat oder einem anderen geeigneten Trägermaterial, um darüber eine Halbleiterschicht 102, etwa eine Siliziumschicht und dergleichen, vorzusehen. Die Halbleiterschicht 102 enthält mehrere Halbleitergebiete und Isolationsbereiche (nicht gezeigt), um in geeigneter Weise Schaltungselemente und Bauteilbereiche voneinander lateral abzugrenzen. Der Einfachheit halber repräsentiert in dem gezeigten Beispiel die Halbleiterschicht 102 ein Halbleitergebiet, in und über welchem mehrere Schaltungselemente 110 hergestellt sind, etwa Feldeffekttransistoren und dergleichen. Die Halbleiterschicht 102 in Verbindung mit den darin und darüber gebildeten Schaltungselementen wird als eine Bauteilebene 110 bezeichnet, die somit halbleiterbasierte Schaltungsstrukturelemente repräsentiert, wobei Transistoren einer beliebigen Art an Struktur typischerweise das vorherrschende Schaltungselemente repräsentieren. Beispielsweise enthalten die Schaltungselemente 110 eine Gateelektrodenstruktur 111, die auf dem Halbleitergebiet 102 ausgebildet ist, das wiederum Drain- und Sourcebereiche 112 gemäß den Bauteilerfordernissen aufweist, um damit das gewünschte elektrische Verhalten in der Bauteilebene 110 zu erreichen. Wie zuvor erläutert ist, sind in anspruchsvollen Anwendungen die kritischen Abmessungen der Schaltungselemente 110 ungefähr 50 nm oder weniger, beispielsweise in Bezug auf eine Gatelänge der Gateelektrodenstruktur 111, wodurch ebenfalls ähnliche kritische Abmessungen von Kontaktelementen 122 erforderlich sind, die in eine Kontaktebene 120 des Bauelements 100 zu bilden sind. Die Schaltungselemente 110 enthalten typischerweise geeignete Kontaktgebiete 112, die speziell im Hinblick auf einen geringen gesamten Kontaktwiderstand gestaltet sind. Häufig wird die Leitfähigkeit der Kontaktgebiete 113 erhöht, indem ein Metallsilizid, etwa Nickelsilizid, Kobaltsilizid, Platinsilizid und dergleichen vorgesehen wird.
  • Die Kontaktebene 120 umfasst typischerweise ein dielektrisches Zwischenschichtmaterial 122, etwa ein Siliziumdioxidmaterial in Verbindung mit der Ätzstoppschicht 121, etwa in Form eines Siliziumnitridmaterials.
  • Das in 1a gezeigte Halbleiterbauelement 100 wird typischerweise auf der Grundlage gut etablierter Prozesstechniken hergestellt. Beispielsweise werden nach dem Festlegen geeigneter Halbleitergebiete in der Schicht 102, was durch Vorsehen von Isolationsstrukturen, etwa flachen Grabenisolationen und dergleichen, bewerkstelligt werden kann, die Schaltungselemente der Bauteilebene 110 vorgesehen, etwa in Form der Gateelektrodenstrukturen 111 und der Drain- und Sourcegebiete 112, indem Materialabscheidetechniken, anspruchsvolle Lithographie- und Strukturierungsprozesse, Ionenimplantationsprozesse und dergleichen angewendet werden kann, wie dies zum Erreichen der gewünschten Schaltungseigenschaften erforderlich ist. Nach der komplexen Fertigungssequenz zur Herstellung der Schaltungselemente in der Bauteilebene 110 wird die Kontaktebene 120 durch Abscheiden des Ätzstoppmaterials 111 hergestellt, das typischerweise unter Anwendung plasmaunterstützter CVD-(chemische Dampfabscheide-)Techniken erfolgt, in denen Prozessparameter effizient so eingestellt werden können, dass die gewünschten Ätzstoppeigenschaften und andere Materialeigenschaften erreicht werden, etwa ein innerer Verspannungspegel und dergleichen, wie dies für die Bauelemente erforderlich ist. Daraufhin wird das dielektrische Zwischenschichtmaterial 122 abgeschieden, beispielsweise auf der Grundlage von Tetramethylorthosilika (TEOS) oder einem anderen geeigneten Vorstufenmaterial, um ein Siliziumdioxidmaterial abzuscheiden. Daraufhin wird eine Einebnung der resultierenden Oberflächentopographie typischerweise im Hinblick auf bessere Bedingungen für nachfolgenden Strukturierungsprozess zur Herstellung von Kontaktöffnungen in den Materialien 122 und 121 durchgeführt.
  • 1b schematisch eine Querschnittsansicht des Bauelements 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt ist eine Kontaktöffnung 122a in dem dielektrischen Zwischenschichtmaterial 122 gebildet, wobei der Einfachheit halber das äußerste Kontaktelement 123 aus 1a gezeigt ist. Wie zuvor erläutert ist, erfordern die insgesamt geringeren Strukturgrößen in der Bauteilebene 110 eine entsprechend erhöhte Dichte an Kontaktelementen in der Kontaktebene 120, wodurch somit geeignete kritische Abmessungen für die Kontaktöffnung 122a erforderlich sind. Zu diesem Zweck wird die Öffnung 122a auf der Grundlage komplexer Lithographie- und anisotroper Ätztechniken hergestellt, in denen eine Ätzmaske, etwa eine Lackmaske, vorgesehen wird, die möglicherweise in Verbindung mit anderen Materialien, etwa ARC-(antireflektierende Beschichtungs-)Materialien und dergleichen, um eine Lackmaske mit einer ausreichenden Dicke für die Strukturierung des dielektrischen Zwischenschichtmaterials 122 bereitzustellen. Auf der Grundlage der Ätzmaske wird ein anisotroper Ätzprozess ausgeführt unter Verwendung einer geeigneten Ätzchemie einer Plasmaumgebung, um Siliziumdioxidmaterial selektiv zu dem Ätzstoppmaterial 121 abzutragen. Da die Öffnung 122a ein großes Aspektverhältnis besitzt, d. h. die Dicke des dielektrischen Zwischenschichtmaterials 122 beträgt einige 100 nm, während eine Breite 122w der Öffnung 122a 50 nm und weniger beträgt, wird eine geeignete Strukturierungsstrategie angewendet, um in zuverlässiger Weise die Öffnung 122 zu strukturieren, wobei die schließlich erforderliche kritische Breite der Kontaktöffnung 122a auf der Grundlage einer nachfolgenden Abscheide- und Ätzsequenz eingestellt wird.
  • 1c zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der eine Abstandshalterschicht oder Beschichtung 124 auf freiliegenden Oberflächenbereichen des Bauelements 100 gebildet ist. Die Beschichtung 124 wird typscherweise in Form eines Siliziumdioxidmaterials unter Anwendung einer geeigneten Abscheidetechnik, etwa plasmagestützte CVD und dergleichen, mit einer geeigneten Dicke 124t vorgesehen, auf deren Grundlage die schließlich gewünschte kritische Breite der Öffnung 122a eingestellt wird. Während der Abscheidung der Beschichtung 124 kann jedoch die ausgeprägte Oberflächentopographie, die durch das große Aspektverhältnis der Kontaktöffnung 122a hervorgerufen wird, zu einem deutlichen „Überwachsen” des Materials an Eckenbereichen 122c der Kontaktöffnung 122a führen, um damit die erforderliche Schichtdicke des Materials 124 innerhalb der Kontaktöffnung 122a zu erhalten.
  • 1d zeigt schematisch das Halbleiterbauelement 100 mit Abstandshalterelementen oder einem Beschichtungsmaterial 124s, das in der Kontaktöffnung 122a gebildet ist, was erreicht wird, indem ein anisotroper Ätzprozess ausgeführt wird, etwa auf der Grundlage ähnlicher Prozessparameter wie sie während des vorhergehenden Ätzprozesses zur Herstellung der Kontaktöffnung 122a angewendet werden. Während dieses Ätzprozesses wird das Abstandshaltermaterial 124 (siehe 1c) im Wesentlichen vollständig von den horizontalen Oberflächenbereichen des dielektrischen Materials 122 entfernt und kann somit ebenfalls deutlich eine Dicke des Materials 124 an der Unterseite der Kontaktöffnung 122a verringern. Nach dem Ätzprozess wird somit eine gewünschte kritische Breite 122r in der Kontaktöffnung 122a zumindest in einem unteren Bereich 122l erreicht, ohne dass aufwendige Lithographietechniken erforderlich sind. Wie jedoch zuvor erläutert ist, können die größeren Überhänge an dem Eckenbereich 122c zu einer Verengung eines oberen Bereichs 122u führen, was einen wesentlichen Einfluss auf die weitere Bearbeitung ausüben kann. D. h., in einem weiteren Ätzschritt wird durch die Ätzstoppschicht 121 auf der Grundlage der Kontaktöffnung 122a mit der reduzierten kritischen Breite 122r geätzt. Daraufhin wird ein leitendes Barrierenmaterial, etwa Titan in Verbindung mit Titannitrid typischerweise unter Anwendung aufwendiger Sputter-Abscheidetechniken aufgebracht, wobei die ausgeprägte Verringerung in der Breite des oberen Bereichs 122a zu äußerst herausfordernden Abscheidebedingungen führt, die wiederum eine weitere Verengung des oberen Bereichs 122a bewirken. Während des nachfolgenden Abscheideprozesses zum Bereitstellen des eigentlichen Kontaktmetalls, etwa von Wolfram, ist somit ein zuverlässiges Auffüllen der Kontaktöffnung 122a schwierig, während in einigen Fällen sogar ein kompletter Verschluss des oberen Bereichs 122a hervorgerufen wird, wodurch zu ernsthaften Kontaktausfällen in der Ebene 120 beigetragen wird. Beim Anwenden der konventionellen Strategien, wie sie zuvor beschrieben ist, weist folglich das an sich vorteilhafte Konzept des Einstellens der endgültigen kritischen Breite auf der Grundlage eines Abscheide- und Ätzprozesses eine Zunahme von Ausbeuteverlusten auf Grund eines vergrößerten Kontaktwiderstands und/oder einer hohen Wahrscheinlichkeit des Erzeugens eines totalen Kontaktausfalls auf.
  • Im Hinblick auf die zuvor beschriebene Situation betrifft die vorliegende Offenbarung Prozesstechniken und Halbleiterbauelemente, in denen Kontaktelemente mit komplexen Halbleiterbauelementen hergestellt werden, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert wird.
  • Überblick über die Erfindung
  • Die vorliegende Erfindung stellt allgemein Halbleiterbauelemente und Fertigungstechniken bereit, in denen die kritische Breite von Kontaktelementen auf der Grundlage eines Abstandshalterelements eingestellt wird, wobei die Wahrscheinlichkeit des Erzeugens von Kontaktausfällen, die durch eine unerwünschte Materialansammlung an Ecken der Kontaktöffnung während des Abscheidens der Abstandshalterschicht hervorgerufen werden, deutlich reduziert wird. Zu diesem Zweck wird die Konfiguration der Kontaktöffnung in geeigneter Weise an einem oberen Bereich modifiziert, ohne dass der untere Bereich wesentlich beeinflusst wird, so dass eine gewünschte anfängliche Breite der Unterseite für eine nachfolgende Einstellung der schließlich gewünschten kritischen Breite vorgesehen wird, während die Abscheidebedingungen im oberen Bereich der Kontaktöffnung deutlich verbessert sind. Die gewünschte Konfiguration kann in einigen anschaulichen offenbarten Aspekten erreicht werden, indem die Breite des oberen Bereichs in gut steuerbarer Weise vergrößert wird, beispielsweise von dem Abscheiden des Abstandshaltermaterials, wodurch ein unerwünschtes Erzeugen von Überhängen vermieden wird. In anderen anschaulichen hierin offenbarten Aspekten wird das effektive Aspektverhältnis der Kontaktöffnung deutlich verringert, wenn das Abstandshaltermaterial hergestellt wird, wodurch deutlich weniger kritische Abscheidebedingungen zur Herstellung der Platzhalterelemente geschaffen werden, die nachfolgend zum Erzeugen der Kontaktöffnung so verwendet werden, dass diese das erforderliche Aspektverhältnis besitzt. Folglich kann das Konzept des Einstellens der kritischen Breite von Kontaktöffnungen auf weiter reduzierte Gesamtbauteilabmessungen erweitert werden, ohne dass zu erhöhten Ausbeuteverlusten beigetragen wird, wie sie typischerweise durch konventionelle Prozesstechniken entstehen.
  • Ein anschauliches hierin offenbartes Verfahren betrifft das Herstellen eines Kontaktelements eines Halbleiterbauelements. Das Verfahren umfasst das Bilden einer Kontaktöffnung in einem dielektrischen Zwischenschichtmaterial, das über einem Halbleitergebiet gebildet ist, das wiederum ein Kontaktgebiet aufweist. Das Verfahren umfasst ferner das Vergrößern einer Breite der Kontaktöffnung an einer oberen Fläche. Ferner wird ein Abstandshalterelement in der Kontaktöffnung gebildet und es wird ein Ätzprozess durch die Kontaktöffnung hindurch ausgeführt, um durch eine Ätzstoppschicht zu ätzen, die zwischen dem Halbleitergebiet und dem dielektrischen Zwischenschichtmaterial angeordnet ist. Des weiteren umfasst das Verfahren das Füllen der Kontaktöffnung mit einem leitenden Material, um das Kontaktelement herzustellen, das eine Verbindung zu dem Kontaktgebiet herstellt.
  • Ein noch weiteres anschauliches hierin offenbartes Verfahren betrifft das Herstellen eines Kontaktelements eines Halbleiterbauelements. Das Verfahren umfasst das Bilden einer Ätzmaske über einem dielektrischen Zwischenschichtmaterial, wobei die Ätzmaske ein Hartmaskenmaterial aufweist. Des weiteren ist ein erster Bereich einer Kontaktöffnung in dem dielektrischen Zwischenschichtmaterial auf der Grundlage der Ätzmaske gebildet, wobei der erste Bereich in dem dielektrischen Zwischenschichtmaterial mündet. Das Verfahren umfasst ferner das Bilden eines Abstandshalterelements in dem ersten Bereich und das Bilden eines zweiten Bereichs der Kontaktöffnung auf der Grundlage des Abstandshalterelements und zumindest des Hartmaskenmaterials. Ferner umfasst das Verfahren das Ausführen eines Ätzprozesses, um durch eine Ätzstoppschicht zu ätzen, die unter dem dielektrischen Zwischenschichtmaterial gefüllt ist, und ferner wird die Kontaktöffnung mit einem leitenden Material gefüllt.
  • Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst ein Kontaktgebiet, das in einem Halbleitergebiet gebildet ist, und eine Ätzstoppschicht, die auf einem Teil des Kontaktgebiets gebildet ist. Des weiteren ist ein dielektrisches Zwischenschichtmaterial über der Ätzstoppschicht angeordnet. Das Halbleiterbauelement umfasst ferner ein Kontaktelement, das in dem dielektrischen Zwischenschichtmaterial der Ätzstoppschicht gebildet ist, und mit dem Kontaktgebiet in Verbindung steht, wobei das Kontaktelement einen verjüngten bzw. schmäler werdenden oberen Bereich und einen im Wesentlichen nicht verjüngten unteren Bereich aufweist, die mit einem leitenden Material gefüllt sind. Des weiteren ist ein Abstandshalterelement selektiv an Seitenwänden des unteren Bereichs des Kontaktelements gebildet.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1d schematisch Querschnittsansichten eines konventionellen Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung komplexer Kontaktelemente durch Einstellen der kritischen Breite auf der Grundlage des Abstandshalterelements gemäß konventioneller Strategien zeigen;
  • 2a bis 2f schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung eines komplexen Kontaktelements unter Anwendung eines Abstandshalterelements zeigen, das auf der Grundlage weniger kritischer Prozessbedingungen hergestellt wird, indem Eckenbereiche eines oberen Teils der Kontaktöffnung gemäß anschaulicher Ausführungsformen abgerundet werden;
  • 2g bis 2i schematisch Querschnittsansichten des Halbleiterbauelements gemäß anschaulicher Ausführungsformen zeigen, in denen ein oberer Bereich einer Kontaktöffnung während eines Ätzprozesses verbreitet wird, indem ein Material einer Ätzmaske gemäß noch weiterer anschaulicher Ausführungsformen abgetragen wird; und
  • 2j bis 2n schematisch Querschnittsansichten des Halbleiterbauelements während diverser Fertigungsphasen gemäß weiterer anschaulicher Ausführungsformen zeigen, in denen ein Abstandshalterelement einer Kontaktöffnung auf der Grundlage eines deutlich verringerten Aspektverhältnisses hergestellt wird.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen offenbarten anschaulichen Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte des hierin offenbarten Gegenstands dar, dessen Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Die hierin offenbarten Prinzipien betreffen allgemein eine Fertigungssequenz und entsprechende Halbleiterbauelemente, in denen ein oberer Bereich einer Kontaktöffnung verrundet oder verbreitert wird während einer geeigneten Phase während der Strukturierungssequenz, d. h. vor dem Abscheiden einer Abstandshalterschicht, wodurch der Grad an Verengung der Kontaktöffnung in einem oberen Bereich vermieden oder zumindest deutlich verringert wird, so dass auch die Abscheidebedingungen einer nachfolgenden Prozesssequenz zum Auffüllen eines leitenden Materials deutlich vereinfacht werden, wobei dennoch eine gewünschte reduzierte kritische Breite der Kontaktöffnung an deren Unterseite erreicht wird. Zu diesem Zweck wird in einigen anschaulichen Ausführungsformen ein geeigneter Materialerosionsprozess ausgeführt nach der Strukturierung der Kontaktöffnung in einem dielektrischen Zwischenschichtmaterial, um vorzugsweise den oberen Bereich der Kontaktöffnung zu modifizieren. D. h., das Halbeiterbauelement wird der Einwirkung einer geeigneten reaktiven Prozessumgebung ausgesetzt, um eine Breite an der Oberseite der Kontaktöffnung zu vergrößern, ohne dass die Breite an der Unterseite der Kontaktöffnung deutlich beeinflusst wird. In einigen anschaulichen Ausführungsformen wird ein Teilchenbeschuss, etwa in Form eines Ionen-Sputter-Prozesses angewendet, um eine ausgeprägte „Kantenverrundung” an dem oberen Bereich der Kontaktöffnung vorzunehmen, was zu besseren Abscheidebedingungen für das Abscheiden einer Abstandshalterschicht führt, die nachfolgend in geeignete Abstandshalterelemente für das Einstellen der gewünschten kritischen Breite an der Unterseite der Kontaktöffnung strukturiert wird. Somit wird eine sehr effiziente Prozesssequenz bereitgestellt, in der die Fähigkeiten konventioneller Konzepte deutlich auf Grund der besseren Abscheidebedingungen erweitert werden, wenn die Abstandshalterelemente hergestellt werden, was wiederum zu günstigeren Abscheidebedingungen währen des Einfüllen des leitenden Kontaktmetalls führt.
  • In anderen anschaulichen Ausführungsformen wird ein gewünschter Grad an Modifizierung des oberen Bereichs der Kontaktöffnung erreicht, indem eine Ätzmaske zumindest ein mal während der Strukturierungssequenz modifiziert wird, beispielsweise durch absichtliches in Gang setzen einer Materialerosion der Ätzmaske, so dass während der nachfolgenden Phase des anisotropen Ätzprozesses ein gewisser Grad „Verjüngung bzw. Anschrägung” in den oberen Bereich der resultierenden Kontaktöffnung erreicht wird. Auch in diesem Falle werden günstigere Abscheidebedingungen geschaffen, wobei auch für eine bessere Integrität des verbleibenden dielektrischen Zwischenschichtmaterials gesorgt ist.
  • In noch anderen anschaulichen hierin offenbarten Ausführungsformen wird das Aspektverhältnis der Kontaktöffnung wirksam im Hinblick auf das Abscheiden des Abstandshaltermaterials verringert, was bewerkstelligt werden kann, indem ein erster Bereich der Kontaktöffnung hergestellt wird und das Abstandshaltermaterial auf der Grundlage des ersten Bereichs mit einem deutlich geringeren Aspektverhältnis abgeschieden wird. Daraufhin werden geeignete Abstandshalter hergestellt, die während des weiteren Ätzprozesses verwendet werden, die während des Ätzprozesses aufgebracht werden können, wobei dennoch für eine effiziente Ätzmaske zum Erhalten der gewünschten reduzierten kritischen Breite an der Unterseite der Kontaktöffnung am Ende des Strukturierungsprozesses gesorgt wird. In einigen anschaulichen Ausführungsformen wird zumindest der zweite Teil des Strukturierungsprozesses auf der Grundlage eines Hartmaskenmaterials ausgeführt, wodurch für gut definierte Abmessungen am oberen Bereich der resultierenden Kontaktöffnung gesorgt wird, während gleichzeitig die gewünschte kritische Abmessung an der Unterseite erreicht wird. Z. B. wird das entsprechende Hartmaskenmaterial beim Ätzen durch die Ätzstoppschicht entfernt, wodurch keine zusätzliche Prozesskomplexität erzeugt wird, und wobei dennoch für eine bessere Zuverlässigkeit und ein besseres Leistungsverhalten der resultierenden Kontaktelemente gesorgt wird.
  • Mit Bezug zu den 2a bis 2o werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, wobei auch auf die 1a bis 1d bei Bedarf Bezug genommen wird.
  • 2a zeigt schematisch ein Halbleiterbauelement 200 mit einem Substrat 201 und einer Halbleiterschicht oder einem Gebiet 202. Das Substrat 201 repräsentiert ein beliebiges geeignetes Trägermaterial und die Halbleiterschicht 202 wird in Form eines geeigneten Halbleitermaterials vorgesehen, etwa in Form von Silizium, Silizium/Germanium, Germanium oder Halbleiterverbindungen und dergleichen. Ferner repräsentieren das Substrat 201 und die Halbleiterschicht und das Gebiet 202 ggf. eine SOI-(Silizium-auf-Isolator-)Konfiguration, wenn ein vergrabenes isolierendes Material (nicht gezeigt) zwischen dem Substrat 201 und dem Halbleitergebiet 202 vorgesehen ist. In der gezeigten Ausführungsform repräsentiert das Halbleitergebiet 202 einen Halbleiterbereich, in welchem ein Kontaktgebiet 213 vorgesehen ist, das eine beliebige geeignete Struktur aufweist, um damit den gewünschten Kontaktwiderstand zu erreichen, wie dies auch zuvor mit Bezug zu dem in 1a gezeigten Bauelement erläutert ist. Beispielsweise weist das Kontaktgebiet 213 ein Metallsilizid oder eine andere Materialzusammensetzung auf, während in anderen Fällen ein halbleiterbasiertes dotiertes Material als das Kontaktgebiet 213 dient. Ferner gelten im Hinblick auf andere Komponenten, die in und über dem Halbleitergebiet 202 oder der Halbleiterschicht gebildet sind, die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Bauelement 100 erläutert sind. Beispielsweise sind entsprechende Schaltungselemente, etwa wie sie zuvor beschrieben sind, mit kritischen Abmessungen von 50 nm und weniger bei Bedarf in und über dem Halbleitergebiet 202 ausgebildet, wodurch eine entsprechende Bauteilebene erzeugt wird. Das Bauelement 200 umfasst ferner eine Kontaktebene 220 mit einem Ätzstoppmaterial 221, etwa einem Siliziumnitridmaterial, einem stickstoffenthaltendem Siliziumkarbidmaterial und dergleichen, in Verbindung mit einem dielektrischen Zwischenschichtmaterial 222, etwa einem Siliziumdioxidmaterial und dergleichen. Es sollte beachtet werden, dass das dielektrische Zwischenschichtmaterial 222 zwei oder mehr individuelle Materialschichten aufweisen kann, wenn dies zum Erreichen der gewünschten Gesamteigenschaften als geeignet erachtet werden. In der gezeigten Fertigungsphase ist eine Ätzmaske 203 oder deren Reste über der Bauteilebene 220 vorhanden. Wie zuvor mit Bezug zu dem Halbleiterbauelement 110 erläutert ist, umfasst eine Ätzmaske ein Lackmaterial, ein ARC-Material, ein Hartmaskenmaterial und dergleichen, wie dies zum Strukturieren des dielektrischen Zwischenschichtmaterials 222 erforderlich ist, um eine Kontaktöffnung 222a darin zu erzeugen, die eine anfängliche Sollbreite 222w aufweist.
  • Im Hinblick auf geeignete Prozesstechniken zur Herstellung des Bauelements 200, wie es in 2a gezeigt ist, sei auf die Beschreibung des konventionellen Bauelements 100 verwiesen. D. h., die Strukturierung des dielektrischen Zwischenschichtmaterials 222 kann auf der Grundlage komplexer Prozessstrategien erreicht werden, in denen die Öffnung 222a in einer zuverlässigen und reproduzierbaren Weise auf Basis der anfänglichen Sollbreite 222w vorgesehen wird. Nach der Herstellung der Kontaktöffnung 222a wird die Ätzmaske 203 entfernt, etwa auf der Grundlage eines geeigneten plasmaunterstützten Abtragungsprozesses und dergleichen.
  • 2b zeigt schematisch das Bauelement 200, wenn es einem Teilchenbeschuss 204 unterliegt, beispielsweise in Form einer ionisierten oder neutralen Sorte, etwa Xenon, Argon, Krypton, Silizium, Sauerstoff und dergleichen. Während des Teilchenbeschusses 204 tritt ein gewisser Grad an Materialerosion in dem dielektrischen Material 222 auf, wobei insbesondere Eckenbereiche 222c einen signifikanten Materialabtrag erlangen, wodurch ein gewisser Grad an Eckenverrundung oder Kantenverrundung bzw. Verjüngung der Kontaktöffnung 222a erreicht wird. Beispielsweise wird der Beschuss 204 als ein Ionensputter-Prozess ausgeführt, der als ein Prozess zum Ionisieren von Gasmolekülen oder Atomen und zum Beschleunigen der ionisierten Teilchen auf der Grundlage eines geeigneten Beschleunigungssystems verstanden wird, wodurch ein Teilchen ausreichend kinetische Energie abgibt, um Atome von einer Oberflächenschicht freiliegender Bereiche herauszulösen. In dem Eckenbereich 222c ist der gesamte Materialabtrag ausgeprägter im Vergleich zu horizontalen Bereichen auf Grund einer größeren Oberfläche, die für eintreffende energetische Ionen oder neutrale Teilchen verfügbar ist. Es sollte beachtet werden, dass ein gewünschter Grad an Eckenverrundung effizient erhalten werden kann, indem geeignete Prozessparameter gewählt werden, was auf der Grundlage von Experimenten unter Anwendung unterschiedlicher Flussteilchen und Energien in Verbindung mit dem dielektrischen Zwischenschichtmaterial 222 und der speziellen Geometrie, die durch die Kontaktöffnung 222a vorgegeben ist, bewerkstelligt werden kann. Folglich wird ein gewünschter Grad an Materialerosion und damit Kanten- oder Eckenverrundung während des Prozesses 204 erreicht. In anderen Fällen enthält der Prozess 204 andere Oberflächenbehandlungen, etwa plasmaunterstützte Prozesse, d. h. die Oberfläche des dielektrischen Zwischenschichtmaterials 222 wird in unmittelbarer Nähe zu einer Plasmaumgebung angeordnet, um damit einen gewissen Grad an Materialerosion zu erreichen, wodurch die Verjüngung oder die Verrundung 222t erzeugt wird. Somit kann eine größere Breite an der Oberseite der Kontaktöffnung 222a im Vergleich zur anfänglichen Sollbreite 222w erhalten werden.
  • 2c zeigt schematisch das Halbleiterbauelement 200 mit einer Abstandshalterschicht 224, die aus dem dielektrischen Material 222 und in der Kontaktöffnung 222a gebildet ist. Die Abstandshalterschicht 224 wird in Form eines beliebigen geeigneten dielektrischen Materials vorgesehen, das mit den Erfordernissen für die weitere Bearbeitung des Bauelements 200 und im Hinblick auf das elektrische Verhalten der Kontaktebene 220 verträglich ist. Wie zuvor mit Bezug zu dem Bauelement 100 erläutert ist, kann die Abstandshalterschicht 224 in Form eines siliziumdioxidbasierten Materials vorgesehen werden, das ähnliche Eigenschaften wie das dielektrische Zwischenschichtmaterial 222 besitzt. In anderen Fällen wird ein anderes geeignetes dielektrisches Material eingesetzt, solange dieses für ausreichende Ausstoppeigenschaften beim Strukturieren der Ätzstoppschicht 221 auf der Grundlage einer gewünschten kritischen Breite in einer nachfolgenden Fertigungsphase sorgt. Die Abstandshalterschicht 224 wird mittels einer geeigneten Abscheidetechnik aufgebracht, etwa durch CVD, möglicherweise als plasmaunterstützter Prozess und dergleichen. Auf Grund der günstigeren Konfiguration, die durch den abgerundeten Bereich 222c erreicht wird, ist der Unterschied zwischen einer Dicke 224a an dem verrundeten Bereich 222c und der Dicke 224b an einem unteren Bereich 222l deutlich weniger ausgeprägt im Vergleich zu konventionellen Strategien. Folglich kann die Dicke 224b mit besserer Gleichmäßigkeit eingestellt werden, wodurch auch eine höhere Gleichmäßigkeit während der weiteren Bearbeitung bei der Herstellung eines Abstandshalterelements und somit während des Definierens der gewünschten reduzierten kritischen Breite in dem unteren Bereich 222l erreicht wird.
  • 2d zeigt schematisch das Bauelement 200 während eines Ätzprozesses 205, um Material der Abstandshalterschicht 224 zu entfernen, wodurch Abstandshalterelemente 224s an dem unteren Bereich 222l gebildet werden, während die Materialschicht 224 im Wesentlichen vollständig von einem oberen Bereich 222u entfernt wird, der den verrundeten Eckenbereich 222c enthält. Der Ätzprozess 205 kann auf der Grundlage eines geeigneten isotropen Ätzrezepts ausgeführt werden, wobei das Material 222 von dem oberen Bereich 222u entfernt wird, während auch die Ätzstoppschicht 221 effizient freigelegt wird, da der verjüngte oder schmäler werdende Bereich 222u ebenfalls für bessere Ätzbedingungen innerhalb der Öffnung 222a sorgt. Es sollte beachtet werden, dass bei Bedarf die Abstandshalterschicht 224 eine andere Abtragsrate im Vergleich zu dem dielektrischen Material 222 besitzt, d. h. eine höhere Abtragsrate, so dass das Material 222 als ein „Ätzstoppmaterial” verwendet werden kann. In anderen anschaulichen Ausführungsformen wird der Ätzprozess 205 auf der Grundlage einer Ätzchemie ausgeführt, die auch Material der Ätzstoppschicht 221 ätzen kann, wodurch eine sehr effiziente Strukturierungssequenz bereitgestellt wird, wobei dennoch im Wesentlichen eine gewünschte reduzierte Breite 222r für das Strukturieren der Ätzstoppschicht 221 beibehalten wird. Beispielsweise wird die Abstandshalterschicht 224 in Form eines Siliziumnitridmaterials, eines stickstoffenthaltenden Siliziumkarbidmaterials und dergleichen vorgesehen, wenn die dielektrische Eigenschaften dieser Materialien mit dem gesamten Verhalten einer Kontaktebene 220 verträglich sind. In anderen anschaulichen Ausführungsformen wird die Abstandshalterschicht 224 auf der Grundlage eines dielektrischen Materials mit kleinem ε vorgesehen, das als ein dielektrisches Material mit einer Dielektrizitätskonstanten von 3,0 oder weniger zu verstehen ist, was daher zu einer kleineren Gesamtpermittivität beiträgt. Ferner besitzen einige dielektrische Materialien mit kleinem ε bessere Ätzstoppeigenschaften, wodurch für einen hohen Grad an Prozessgleichmäßigkeit beim Strukturieren der Ätzstoppschicht 221 in einem nachfolgenden Prozessschritt gesorgt wird. In noch anderen Fällen ist die Abstandshalterschicht 224 in Form eines ätzenden Materials vorgesehen, das auf Grund der besseren gesamten Konfiguration der Kontaktöffnung 223a effizient entfernt werden kann, wenn die Abstandshalterelemente 224s in dem unteren Bereich 222l gebildet werden. Beispielsweise können Titan, Titannitrid und dergleichen effizient für die Abstandshalterschicht 224 eingesetzt werden, während in anderen Fällen dotiertes Siliziummaterial und dergleichen abgeschieden wird, wenn dies als geeignet erachtet wird.
  • 2e zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der ein weiterer Ätzprozess auf der Grundlage der Kontaktöffnung 222a, die die Abstandshalterelemente 224s enthält, ausgeführt wird, um durch das Ätzstoppmaterial 221 zu ätzen. Wie zuvor erläutert ist, repräsentiert abhängig von der Materialzusammensetzung der Abstandshalterelemente 224s der Ätzschritt 206 eine Phase einer Prozesssequenz zur Herstellung der Abstandshalterelemente 224s und zum Ätzen durch das Material 221. In anderen Fällen werden die Abstandshalter 224s als ein Ätzstoppmaterial während des Ätzprozesses 206 verwendet und bleiben somit nach dem Prozess 206 ohne wesentliche Materialerosion bestehen. Es sollte beachtet werden, dass eine beliebige gut etablierte Ätzchemie für den Prozess 206 eingesetzt werden kann, etwa Ätzrezepte, wie sie auch in konventionellen Strategien angewendet werden. Des weiteren sollte beachtet werden, ein effizienteres Freiliegen der Ätzstoppschicht 221 auf Grund der günstigen Konfiguration der Öffnung 222a erreicht werden kann, wie dies zuvor erläutert ist, wodurch ebenfalls für günstigere Prozessbedingungen während des Ätzschritts 206 gesorgt wird.
  • 2f zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist das Kontaktelement 223 in der Kontaktebene 220 gebildet, d. h. in dem dielektrischen Zwischenschichtmaterial 222 und dem Ätzstoppmaterial 221. In der gezeigten Ausführungsform enthält das Kontaktelement 223, das eine geeignete Konfiguration, etwa eine „pfropfenartige” Konfiguration, eine grabenartige Konfiguration und dergleichen besitzt, ein leitendes Metallmaterial 223b, etwa Wolfram, Aluminium, Kupfer und dergleichen, möglicherweise in Verbindung mit einem leitenden Barrierenmaterial 223a, etwa Titan, Titannitrid, Tantal, Tantalnitrid und dergleichen. Es sollte beachtet werden, dass in anderen Fällen andere leitende Materialien, etwa Kohlenstoff und dergleichen, ebenfalls verwendet werden können, wenn dies als geeignet erachtet wird. Somit weist das Kontaktelement 223 einen oberen Bereich 223u auf, der lateral in dem dielektrischen Material 222 eingebettet ist und eine größere Breite 223w aufweist, die mit zunehmender Tiefe des oberen Bereichs 223 abnimmt. Andererseits umfasst das Kontaktelement 223 einen unteren Bereich 223l, der als ein Bereich des Kontaktelements 223 zu verstehen ist, der direkt mit dem Abstandshalterelement 224s in Kontakt ist. Der untere Bereich 223l besitzt eine geringere Breite 223r, wenn das Abstandshalterelement 224s in Form eines dielektrischen Materials vorgesehen ist. In anderen Fällen, wenn ein leitendes Material für das Abstandshalterelement 224 verwendet wird, ist die geringere Abmessung 223r zumindest in einem Bereich vorhanden, der lateral in dem Ätzstoppmaterial 221 eingebettet ist.
  • Das Kontaktelement 223 kann auf der Grundlage einer beliebigen geeigneten Prozesssequenz hergestellt werden, die das Abscheiden des Barrierenmaterials 223a, falls erforderlich, beinhaltet, woran sich das Abscheiden des leitenden Materials 223b anschließt, was durch Sputter-Abscheidung, ALD und dergleichen für das Barrierenmaterial 223a gelingt, während CVD-Techniken, elektrochemische Abscheideprozesse und dergleichen zur Herstellung des Materials 223b angewendet werden können. Unabhängig von der angewendeten Abscheidetechnik führt auch das Abscheiden des Materials der Kontaktelemente 223 stellt die günstigere geometrische Konfiguration ein besseres Füllverhalten von unten nach oben mit einer deutlich geringeren Wahrscheinlichkeit zum Erzeugen von Unregelmäßigkeiten sicher, wobei dennoch die reduzierte Breite 223r beibehalten wird.
  • Mit Bezug zu den 2g bis 2i werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen eine Eckenverrundung auf der Grundlage einer erodierten Ätzmaske erreicht wird.
  • 2g zeigt schematisch das Halbleiterbauelement 200, wenn es der Einwirkung eines anisotropen Ätzprozesses 207 unterliegt, der auf der Grundlage der Ätzmaske 203 ausgeführt wird, um den oberen Bereich 222o in dem dielektrischen Zwischenschichtmaterial 222 zu erzeugen. Wie zuvor erläutert ist, wird die Ätzmaske 203 so strukturiert, dass diese die Breite 222w erhält. Während einer geeigneten Phase im Prozess 207, d. h. nach dem Erreichen einer speziellen Tiefe in dem dielektrischen Zwischenschichtmaterial 222, wird der Prozess 207 unterbrochen, um gezielt die Konfiguration der Ätzmaske 203 zu modifizieren.
  • 2h zeigt schematisch das Bauelement 200 während eines Materialabtragungsprozesses 208, während welchem Material der Ätzmaske 203 entfernt wird, wodurch eine erodierte Ätzmaske 203e geschaffen wird, die auch für unterschiedliche Ätzbedingungen an den Randbereich 222c sorgt. Zu diesem Zweck wird der Prozess 208 auf der Grundlage einer Plasmaumgebung ausgeführt, um das Entfernen von Material der Ätzmaske 203 zu fördern, die aus Lackmaterial und dergleichen hergestellt sein kann. Beispielsweise werden ein oder mehrere reaktive Chemikalien, die während des Ätzprozesses 207 (siehe 2g) verwendet werden, reduziert oder deren Zufuhr wird vollständig unterbrochen, während eine weitere geeignete Komponente, etwa Sauerstoff und dergleichen, eingeführt wird, wodurch ein gewisser Grad an Materialerosion erreich wird. Daraufhin wird eine geeignete Ätzumge bung wieder hergestellt, beispielsweise unter Anwendung ähnlicher Prozesssparameter, wie sie während des Prozesses 207 der 2g angewendet werden, wobei die modifizierte Konfiguration der Ätzmaske 203e dann zu einem erhöhten Materialabtrag an dem Eckenbereich 222c führt.
  • 2i zeigt schematisch das Halbleiterbauelement 200 nach der zuvor beschriebenen Strukturierungssequenz, wobei der obere Bereich 222u verbreitet ist oder einen verjüngten Bereich repräsentiert, während der untere Bereich 222l im Wesentlichen auf der Grundlage der Breite 222w ausgebildet ist. Auch in diesem Falle kann somit eine günstigere Konfiguration der Kontaktöffnung 222a erreicht werden. Daher kann die weitere Bearbeitung auf der Grundlage deutlich besserer Gesamtprozessbedingungen weiter fortgesetzt werden, wie dies zuvor beschrieben ist. Es sollte beachtet werden, dass der Prozess 208 der 2h zwei mal oder öfter angewendet werden kann, um in geeigneter Weise den Grad der Verjüngung gemäß dem gesamten Bauteilerfordernissen einzustellen. In diesem Falle kann vorteilhaft sein, den eigentlichen Ätzprozess zum Entfernen des dielektrischen Zwischenschichtmaterials 222 und dem Prozess 208 aus 2h als eine in-situ-Prozesssequenz auszuführen.
  • Mit Bezug zu den 2j bis 2n werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen das Abstandshaltermaterial in einem Bereich der Kontaktöffnung aufgebracht wird, der ein deutlich geringeres Aspektverhältnis besitzt.
  • 2j zeigt schematisch das Bauelement 200 während des Ätzprozesses 207, der auf der Grundlage der Ätzmaske 203 ausgeführt wird, die ein Hartmaskenmaterial 203 enthält. Die Ätzmaske 203 wird so strukturiert, dass eine Maskenöffnung 203b mit einer Breite 222g bereitgestellt wird, die so gewählt ist, dass geeignete Prozessbedingungen während des nachfolgenden Ätzens eines Abstandshaltermaterials erreicht werden. In einigen anschaulichen Ausführungsformen entspricht die Breite 222g im Wesentlichen der Breite 222w, wie dies zuvor erläutert ist. Während des Strukturierungsprozesses 207 wird das Hartmaskenmaterial 203a auf der Grundlage von beispielsweise einem Lackmaterial strukturiert, was somit zu besseren Prozessbedingungen zum Definieren der Maskenöffnung 203b führt. Daraufhin wird der Strukturierungsprozess 207 fortgesetzt, indem in das dielektrische Zwischenschichtmaterial 222 geätzt wird, um den oberen Bereich 222u zu bilden. Es sollte beachtet werden, dass in anderen Fällen separate Ätzprozesse in separaten Ätzkammern ausgeführt werden können, um die Maske 203 herzustellen und um nachfolgend einen Strukturierungsprozess zur Herstellung des 222u auszuführen. Es sollte ferner beachtet werden, dass das Hartmaskenmaterial 203a in Form eines beliebigen geeigneten Materials vorgesehen werden kann, etwa in Form von Siliziumnitrid und dergleichen.
  • 2k zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, wird der obere Bereich 222u mit der Breite 222g und mit einer Tiefe 222d vorgesehen, die zu einem Aspektverhältnis, d. h. zu einem Verhältnis von Tiefe zu Breite, führen, das deutlich kleiner ist als das Aspektverhältnis, das durch die Kontaktöffnung definiert ist, wenn die sich durch das gesamte dielektrische Zwischenschichtmaterial 222 erstreckt. Des weiteren wird die Abstandshalterschicht 224 auf dem Hartmaskenmaterial 203a und in den oberen Bereich 222u gebildet. Auf Grund der deutlich besseren Abscheidebedingungen, d. h. auf Grund des geringeren Aspektverhältnisses, wird das Material 224 mit kleineren Überhängen an dem Eckenbereich 222c aufgebracht. Im Hinblick auf Abscheidetechniken und auf Materialeigenschaften der Abstandshalterschicht 224 sei auf die zuvor beschriebene Ausführungsform verwiesen.
  • 2l zeigt schematisch das Bauelement 200 mit Abstandshalterelementen 224s, die in dem oberen Bereich 224u gebildet sind. Zu diesem Zweck wird ein beliebiges geeignetes anisotropes Ätzrezept angewendet. Ferner dient das Hartmaskenmaterial 203a als eine effiziente Ätzstoppschicht, wodurch eine unerwünschte Materialerosion des dielektrischen Materials 222 außerhalb der Öffnung 22u vermieden wird. In einer anschaulichen Ausführungsform sind die Abstandshalterelemente 224s aus im Wesentlichen den gleichen Material wie das dielektrische Zwischenschichtmaterial 222 aufgebaut oder besitzen zumindest sehr ähnliche Ätzraten im Vergleich zu dem elektrischen Zwischenschichtmaterial 222. In anderen Fällen ist die Ätzrate der Abstandshalterelemente 224s kleiner im Vergleich zu der Abtragsrate des dielektrischen Zwischenschichtmaterials 222 in Bezug auf einen nachfolgenden anisotropen Ätzprozess.
  • 2m zeigt schematisch das Bauelement 200, wenn es der Einwirkung einer weiteren anisotropen Ätzumgebung 207b unterliegt, in welcher die Kontaktöffnung 222a so gebildet wird, dass diese sich zu der Ätzstoppschicht 221 mit einer Breite erstreckt, die im Wesentlichen der reduzierten Breite 222r entspricht. Während des Ätzprozesses 207b wird beispielsweise das Material der Abstandshalterelemente 224s entfernt, während gleichzeitig die Front in Richtung zur Ätzstoppschicht 221 auf der Grundlage der Breite 222r weiterschreitet. Andererseits wird ein unerwünschter Materialabtrag des dielektrischen Materials 222 auf Grund der Anwesenheit des Hartmaskenmaterials 203 unterdrückt, das somit für eine gut definierte Größe, d. h. die Breite 222g sorgt. In der gezeigten Ausführungsform werden die Abstandshalterelemente 224s im Wesentlichen vollständig während des Ätzprozesses 207b aufgebracht, wenn in anderen Fällen die Abstandshalter 224s bestehen bleiben, jedoch in einer geringeren Größe, wodurch ebenfalls für die gewünschte größere Breite 222g in den oberen Bereiche 222u gesorgt wird, wobei auch die gewünschte reduzierte Breite 222r an der Unterseite der Öffnung 222a sichergestellt ist. In noch anderen anschaulichen Ausführungsformen (nicht gezeigt) werden die Abstandshalterelemente 224s ohne wesentlichen Materialabtrag beibehalten und werden in einem nachfolgenden Prozessschritt entfernt, wenn beispielsweise durch das Ätzstoppmaterial 221 geätzt wird.
  • 2n zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der die Ätzumgebung 205 eingerichtet wird, um durch die Ätzstoppschicht 221 zu ätzen. Dies kann auf der Grundlage beliebiger geeigneter Ätzrezepte erfolgen, wie dies zuvor erläutert ist. Ferner wird in einigen anschaulichen Ausführungsformen die Hartmaskenschicht 203a ebenfalls während des Ätzprozesses 205 entfernt, beispielsweise wenn diese eine ähnliche Abtragsrate während des Prozesses 205 wie das Material der Schicht 221 aufweist. In anderen Fällen wird das Maskenmaterial 203a beibehalten und dient als eine Stoppschicht während der weiteren Bearbeitung des Bauelements 200, wenn beispielsweise die Kontaktöffnung 222a mit einem leitenden Material gefüllt wird und überschüssiges Material auf der Grundlage von CMP (chemisch-mechanischen Polieren) und dergleichen entfernt wird.
  • Es gilt also: Die vorliegende Offenbarung stellt Halbleiterbauelemente und Techniken bereit, in denen kritische Abmessungen von Kontaktöffnungen auf der Grundlage eines Abstandshaltematerials definiert sind, während die Wahrscheinlichkeit des Erzeugens eines schmalen oberen Bereichs vermieden oder zuminderst reduziert wird. Zu diesem Zweck wird die Breite der Kontaktöffnung erhöht oder das Aspektverhältnis wird verringert, bevor das Abstandshaltermaterial abgeschieden wird, wodurch eine Verengung eines oberen Bereichs vor der weiteren Bearbeitung vermieden oder reduziert wird. Auf Grund der besseren Geometrie der Kontaktöffnungen kann somit das Einführen von vielen leitenden Materialien auf der Grundlage besserer Prozessbedingungen erreicht werden, wodurch die Wahr scheinlichkeit des Erzeugens von Kontaktausfällen in größeren Anwendungen verringert wird.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher dient diese Beschreibung anschaulichen Zwecken und ist dazu gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Prinzipien zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (20)

  1. Verfahren zum Herstellen eines Kontaktelements eines Halbleiterbauelements, wobei das Verfahren umfasst: Bilden einer Kontaktöffnung in einem dielektrischen Zwischenschichtmaterial, das über einem Halbleitergebiet gebildet ist, das ein Kontaktgebiet aufweist; Vergrößern einer Breite der Kontaktöffnung in einem oberen Gebiet davon; Bilden eines Abstandshalterelements in der Kontaktöffnung; Ausführen eines Ätzprozesses durch die Kontaktöffnung hindurch, um durch eine Ätzstoppschicht zu ätzen, die zwischen dem Halbleitergebiet und dem dielektrischen Zwischenschichtmaterial angeordnet ist; und Füllen der Kontaktöffnung mit einem leitenden Material, um das Kontaktelement herzustellen, so dass dieses mit dem Kontaktgebiet in Verbindung steht.
  2. Verfahren nach Anspruch 1, wobei Vergrößern einer Breite der Kontaktöffnung an einen oberen Gebiet davon umfasst: Bilden der Kontaktöffnung auf der Grundlage einer Ätzmaske, die sich zu der Ätzstoppschicht erstreckt, Entfernen der Ätzmaske und Ausführen eines Ionenbeschusses.
  3. Verfahren nach Anspruch 1, wobei Vergrößern einer Breite der Kontaktöffnung an ein einen Gebiet davon umfasst: Bilden eines ersten Bereichs der Kontaktöffnung unter Anwendung einer Ätzmaske, Vergrößern einer Breite einer Maskenöffnung der Ätzmaske und Bilden eines zweiten Bereichs der Kontaktöffnung auf der Grundlage der Ätzmaske mit der vergrößerten Maskenöffnung.
  4. Verfahren nach Anspruch 3, wobei Vergrößern einer Breite der Maskenöffnung umfasst: Ausführen einer Plasmabehandlung, um Material der Ätzmaske abzutragen.
  5. Verfahren nach Anspruch 3, wobei Vergrößern einer Breite der Maskenöffnung Ausführen eines Ionensputter-Prozesses umfasst.
  6. Verfahren nach Anspruch 3, das ferner umfasst: weiteres Vergrößern einer Breite der vergrößerten Maskenöffnung und Bilden eines dritten Bereichs der Kontaktöffnung auf der Grundlage der noch weiter vergrößerten Maskenöffnung.
  7. Verfahren nach Anspruch 1, wobei eine kritische Breite der Kontaktöffnung an deren Unterseite ungefähr 50 Nanometer (nm) oder weniger beträgt.
  8. Verfahren nach Anspruch 1, wobei vergrößern einer Breite der Kontaktöffnung an einem oberen Gebiet davon Ausführen eines Ionensputter-Prozesses umfasst.
  9. Verfahren zur Herstellung eines Kontaktelements eines Halbleiterbauelements, wobei das Verfahren umfasst: Bilden einer Ätzmaske über einem dielektrischen Zwischenschichtmaterial, wobei die Ätzmaske ein Hartmaskenmaterial aufweist; Bilden eines ersten Bereichs einer Kontaktöffnung in dem dielektrischen Zwischenschichtmaterial auf der Grundlage der Ätzmaske, wobei der erste Bereich in dem dielektrischen Zwischenschichtmaterial mündet; Bilden eines Abstandshalterelements in dem ersten Bereich; Bilden eines zweiten Bereichs der Kontaktöffnung auf der Grundlage des Abstandshalterelements und zumindest des Hartmaskenmaterials; Ausführen eines Ätzprozesses, um durch eine Ätzstoppschicht zu ätzen, die unter dem dielektrischen Zwischenschichtmaterial gebildet ist; und Füllen der Kontaktöffnung mit einem leitenden Material.
  10. Verfahren nach Anspruch 9, wobei Bilden der Ätzmaske umfasst: Bilden einer dielektrischen Schicht auf dem dielektrischen Zwischenschichtmaterial und Bilden eines Lackmaterials über der dielektrischen Schicht.
  11. Verfahren nach Anspruch 10, wobei das dielektrische Material Silizium und Stickstoff aufweist.
  12. Verfahren nach Anspruch 10, wobei Ausführen des Ätzprozesses umfasst: Entfernen des Hartmaskenmaterials, wenn durch die Ätzstoppschicht geätzt wird.
  13. Verfahren nach Anspruch 9, wobei Bilden des zweiten Bereichs umfasst: Entfernen von Material des Abstandshalterelements und des dielektrischen Zwischenschichtmaterials mit einer ähnlichen Abtragsrate.
  14. Verfahren nach Anspruch 13, wobei Bilden des Abstandshalterelements umfasst: Abscheiden eines dielektrischen Materials mit im Wesentlichen der gleichen Zusammensetzung wie das dielektrische Zwischenschichtmaterial.
  15. Verfahren nach Anspruch 9, wobei der erste Bereich auf der Grundlage einer ersten Sollbreite gebildet wird, die so gewählt ist, dass diese der Abscheidefähigkeit eines Abscheideprozesses entspricht, um das leitende Material in der Kontaktöffnung herzustellen.
  16. Verfahren nach Anspruch 15, wobei der erste Bereich auf der Grundlage der ersten Sollbreite hergestellt wird, die so gewählt wird, dass diese der Abscheidefähigkeit eines weiteren Abscheideprozesses entspricht, um eine Abstandshalterschicht in dem ersten Bereich zu bilden.
  17. Halbleiterbauelement mit: einem in einem Halbleitergebiet gebildeten Kontaktgebiet; einer auf einem Bereich des Kontaktgebiets gebildeten Ätzstoppschicht; einem dielektrischen Zwischenschichtmaterial, das über der Ätzstoppschicht gebildet ist; einem Kontaktelement, das in dem dielektrischen Zwischenschichtmaterial und der Ätzstoppschicht so gebildet ist, dass es mit dem Kontaktgebiet in Verbindung steht, wobei das Kontaktelement einen schmäler werdenden oberen Bereich und einen im Wesentlichen nicht schmäler werdenden unteren Bereich aufweist und wobei das Kontaktelement ein leitendes Material enthält; und einem Abstandshalterelement, das selektiv an Seitenwänden des unteren Bereichs ausgebildet ist.
  18. Halbleiterbauelement nach Anspruch 17, wobei eine kritische Breite des unteren Bereichs ungefähr 50 nm oder weniger beträgt.
  19. Halbleiterbauelement nach Anspruch 18, wobei eine Breite des oberen Bereichs an einer Oberseite um ungefähr 20% oder mehr größer ist als die kritische Breite.
  20. Halbleiterbauelement nach Anspruch 17, wobei das leitende Material Wolfram umfasst.
DE102009023251A 2009-05-29 2009-05-29 Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung Expired - Fee Related DE102009023251B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102009023251A DE102009023251B4 (de) 2009-05-29 2009-05-29 Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung
US12/785,726 US20100301486A1 (en) 2009-05-29 2010-05-24 High-aspect ratio contact element with superior shape in a semiconductor device for improving liner deposition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102009023251A DE102009023251B4 (de) 2009-05-29 2009-05-29 Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung

Publications (2)

Publication Number Publication Date
DE102009023251A1 true DE102009023251A1 (de) 2010-12-02
DE102009023251B4 DE102009023251B4 (de) 2011-02-24

Family

ID=43028489

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009023251A Expired - Fee Related DE102009023251B4 (de) 2009-05-29 2009-05-29 Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung

Country Status (2)

Country Link
US (1) US20100301486A1 (de)
DE (1) DE102009023251B4 (de)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010063775B4 (de) 2010-12-21 2019-11-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktbalken und Metallleitungen mit vergrößerten Aufnahmegebieten für Kontaktdurchführungen
CN102376684B (zh) * 2011-11-25 2016-04-06 上海集成电路研发中心有限公司 铜互连结构及其制作方法
CN103887422A (zh) * 2012-12-20 2014-06-25 中芯国际集成电路制造(上海)有限公司 磁阻存储器及其形成方法
CN103066095B (zh) * 2013-01-14 2016-01-20 武汉新芯集成电路制造有限公司 一种影像传感器及其制造方法
US9111935B2 (en) * 2013-03-12 2015-08-18 International Business Machines Corporation Multiple-patterned semiconductor device channels
US9099471B2 (en) 2013-03-12 2015-08-04 International Business Machines Corporation Semiconductor device channels
US9076848B2 (en) 2013-03-12 2015-07-07 International Business Machines Corporation Semiconductor device channels
CN104218150B (zh) * 2013-06-05 2017-03-22 中芯国际集成电路制造(上海)有限公司 磁性随机存储器单元的形成方法
US10163778B2 (en) * 2014-08-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of damascene structure
JP6723128B2 (ja) * 2016-09-27 2020-07-15 東京エレクトロン株式会社 ニッケル配線の製造方法
WO2018186240A1 (ja) * 2017-04-07 2018-10-11 株式会社村田製作所 弾性波装置
KR20190044196A (ko) 2017-10-20 2019-04-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
EP3707759A1 (de) * 2017-11-07 2020-09-16 Everspin Technologies, Inc. Verfahren zur entfernung von winkeligen oberflächen und zugehörige struktur
CN114334811A (zh) * 2022-03-14 2022-04-12 广州粤芯半导体技术有限公司 一种接触孔及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6111319A (en) * 1995-12-19 2000-08-29 Stmicroelectronics, Inc. Method of forming submicron contacts and vias in an integrated circuit
US6287957B1 (en) * 1999-10-07 2001-09-11 Worldwide Semiconductor Manufacturing Corporation Self-aligned contact process
US6441418B1 (en) * 1999-11-01 2002-08-27 Advanced Micro Devices, Inc. Spacer narrowed, dual width contact for charge gain reduction
US6881659B2 (en) * 2000-02-03 2005-04-19 Samsung Electronics Co., Ltd. Methods of forming self-aligned contact structures in semiconductor integrated circuit devices

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4472240A (en) * 1981-08-21 1984-09-18 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing semiconductor device
US4698128A (en) * 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
US4902377A (en) * 1989-05-23 1990-02-20 Motorola, Inc. Sloped contact etch process
US5250165A (en) * 1991-12-09 1993-10-05 Motorola, Inc. Controlled isotropy reactive ion etcher for multi-stepped sloped contact etch process
US5319249A (en) * 1992-08-07 1994-06-07 The United States Of America As Represented By The Secretary Of The Navy Power conversion system modification to permit use of DC power source
US5453403A (en) * 1994-10-24 1995-09-26 Chartered Semiconductor Manufacturing Pte, Ltd. Method of beveled contact opening formation
KR0151048B1 (ko) * 1995-05-24 1998-12-01 김광호 반도체 장치의 접촉창 형성방법
US5654238A (en) * 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US5940732A (en) * 1995-11-27 1999-08-17 Semiconductor Energy Laboratory Co., Method of fabricating semiconductor device
US5847460A (en) * 1995-12-19 1998-12-08 Stmicroelectronics, Inc. Submicron contacts and vias in an integrated circuit
KR100227636B1 (ko) * 1995-12-29 1999-11-01 김영환 반도체 소자의 콘택 홀 형성 방법
US5940731A (en) * 1996-10-16 1999-08-17 Vanguard International Semiconductor Corp. Method for forming tapered polysilicon plug and plug formed
KR100224720B1 (ko) * 1996-10-31 1999-10-15 윤종용 반도체장치의 콘택홀 형성방법
JP4094174B2 (ja) * 1999-06-04 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法
US6624066B2 (en) * 2001-02-14 2003-09-23 Texas Instruments Incorporated Reliable interconnects with low via/contact resistance
KR100426811B1 (ko) * 2001-07-12 2004-04-08 삼성전자주식회사 셀프얼라인 콘택을 갖는 반도체 소자 및 그의 제조방법
US6576548B1 (en) * 2002-02-22 2003-06-10 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with reliable contacts/vias
US6511902B1 (en) * 2002-03-26 2003-01-28 Macronix International Co., Ltd. Fabrication method for forming rounded corner of contact window and via by two-step light etching technique
KR100454128B1 (ko) * 2002-04-02 2004-10-26 삼성전자주식회사 금속간 절연막 패턴 및 그 형성 방법
US6803305B2 (en) * 2002-04-10 2004-10-12 Chartered Semiconductor Manufacturing Limited Method for forming a via in a damascene process
US20030227092A1 (en) * 2002-06-05 2003-12-11 De-Chuan Liu Method of rounding a corner of a contact
KR100543471B1 (ko) * 2003-12-30 2006-01-20 삼성전자주식회사 노어형 플래시 메모리 셀의 콘택 구조 형성방법
JP2006013190A (ja) * 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
DE102004042169B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US8264086B2 (en) * 2005-12-05 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure with improved reliability
US7687395B2 (en) * 2006-11-02 2010-03-30 International Business Machines Corporation Contact aperture and contact via with stepped sidewall and methods for fabrication thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6111319A (en) * 1995-12-19 2000-08-29 Stmicroelectronics, Inc. Method of forming submicron contacts and vias in an integrated circuit
US6287957B1 (en) * 1999-10-07 2001-09-11 Worldwide Semiconductor Manufacturing Corporation Self-aligned contact process
US6441418B1 (en) * 1999-11-01 2002-08-27 Advanced Micro Devices, Inc. Spacer narrowed, dual width contact for charge gain reduction
US6881659B2 (en) * 2000-02-03 2005-04-19 Samsung Electronics Co., Ltd. Methods of forming self-aligned contact structures in semiconductor integrated circuit devices

Also Published As

Publication number Publication date
DE102009023251B4 (de) 2011-02-24
US20100301486A1 (en) 2010-12-02

Similar Documents

Publication Publication Date Title
DE102009023251B4 (de) Verfahren zur Herstellung eines Kontaktelements mit großem Aspektverhältnis und mit einer günstigeren Form in einem Halbleiterbauelement zur Verbesserung der Abscheidung einer Beschichtung
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102007052050B4 (de) Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
DE102008063430B4 (de) Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102010002451B4 (de) Verfahren zur Herstellung von Kontaktelementen von Halbleiterbauelementen
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102010064289B4 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102007020268B3 (de) Halbleiterbauelement und Verfahren zum Verhindern der Ausbildung von elektrischen Kurzschlüssen aufgrund von Hohlräumen in der Kontaktzwischenschicht
DE102005063092B3 (de) Halbleiterbauelement mit einer Kontaktstruktur mit erhöhter Ätzselektivität
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102010063775B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktbalken und Metallleitungen mit vergrößerten Aufnahmegebieten für Kontaktdurchführungen
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102010038746B4 (de) Verfahren zum Reduzieren der Topographie in Isolationsgebieten eines Halbleiterbauelements durch Anwenden einer Abscheide/Ätzsequenz vor der Herstellung des Zwischenschichtdielektrikums
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102006004412B3 (de) Verfahren zum Erhöhen der Ätzselektivität in einer Kontaktstruktur in Halbleiterbauelementen
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
WO2005124854A1 (de) Verfahren zum herstellen einer schicht-anordnung
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren
DE102008045036B4 (de) Verringern kritischer Abmessungen von Kontaktdurchführungen und Kontakten über der Bauteilebene von Halbleiterbauelementen
DE102007063271B4 (de) Verfahren zur Herstellung eines dielektrischen Zwischenschichtmaterials mit unterschiedlichen Abtragsraten während eines CMP-Prozesses
DE102010028463B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit komplexen leitenden Elementen in einem dielektrischen Materialsystem unter Anwendung einer Barrierenschicht und Halbleiterbauelement diese aufweisend

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R020 Patent grant now final

Effective date: 20110619

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee