DE102010038736A1 - Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht - Google Patents

Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht Download PDF

Info

Publication number
DE102010038736A1
DE102010038736A1 DE102010038736A DE102010038736A DE102010038736A1 DE 102010038736 A1 DE102010038736 A1 DE 102010038736A1 DE 102010038736 A DE102010038736 A DE 102010038736A DE 102010038736 A DE102010038736 A DE 102010038736A DE 102010038736 A1 DE102010038736 A1 DE 102010038736A1
Authority
DE
Germany
Prior art keywords
dielectric material
trench
etching
mask
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102010038736A
Other languages
English (en)
Inventor
Mohammed Radwan
Johann Steinmetz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102010038736A priority Critical patent/DE102010038736A1/de
Priority to US13/157,863 priority patent/US20120028376A1/en
Publication of DE102010038736A1 publication Critical patent/DE102010038736A1/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Bei der Herstellung von Metallleitungen und Kontaktdurchführungen in komplexen Metallisierungssystemen von Halbleiterbauelementen wird ein zusätzlicher Steuerungsmechanismus zum Einstellen der endgültigen kritischen Abmessungen in dem letzten Ätzprozess zum Ätzen der Ätzstoppschicht eingerichtet, nachdem das dielektrische Material mit kleinem ε strukturiert ist. Dazu wird die Konzentration eines polymerisierenden Gases gemäß der anfänglichen kritischen Abmessung, die nach dem Lithographieprozess erreicht wird, gesteuert, wodurch die endgültige kritische Abmessung effizient so eingestellt wird, dass diese nahe an dem gewünschten Sollwert liegt.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung Mikrostrukturen, etwa moderne integrierte Schaltungen, und betrifft insbesondere leitende Strukturen, etwa kupferbasierte Metallisierungsschichten, die Metallleitungen und Kontaktdurchführungen aufweisen.
  • Beschreibung des Stands der Technik
  • Bei der Herstellung moderner Mikrostrukturen, etwa von integrierten Schaltungen, gibt es ein beständiges Bestreben, die Strukturgrößen der Mikrostrukturelemente zu verringern, wodurch die Funktionsweise dieser Strukturen verbessert wird. Beispielsweise haben in modernen integrierten Schaltungen die minimalen Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, den Bereich deutlich unter 1 μm erreicht, wodurch das Leistungsverhalten dieser Schaltungen im Hinblick auf Geschwindigkeit und/oder Leistungsaufnahme und/oder im Hinblick auf die Funktionsvielfalt verbessert wurde. Wenn die Größe der einzelnen Schaltungselemente mit jeder neuen Schaltungsgeneration verringert wird, wodurch beispielsweise die Schaltgeschwindigkeit der Transistoren verbessert wird, wird auch die verfügbare Fläche für Verbindungsleitungen, die die einzelnen Schaltungselemente elektrisch miteinander verbinden, ebenfalls verringert. Folglich müssen die Abmessungen dieser Verbindungsleitungen ebenfalls verringert werden, um dem geringen Anteil an verfügbarer Fläche und der größeren Anzahl an Schaltungselementen, die pro Einheitschipfläche vorgesehen sind, Rechnung zu tragen, da typischerweise die Anzahl an erforderlichen Verbindungen stärker anwächst als die Anzahl der Schaltungselemente. Daher wird für gewöhnlich eine Vielzahl gestapelter „Verdrahtungsschichten” vorgesehen, die auch als Metallisierungsschichten bezeichnet werden, wobei einzelne Metallleitungen einer Metallisierungsschicht mit den einzelnen Metallleitungen einer darüber liegenden oder darunter liegenden Metallisierungsschicht durch sogenannte Kontaktdurchführungen verbunden sind. Trotz des Vorsehens einer Vielzahl an Metallisierungsschichten sind reduzierte Abmessungen der Verbindungsleitungen erforderlich, um der enormen Komplexität von beispielsweise modernen CPU's, Speicherbauelementen, ASICS (anwendungsspezfischen IC's) und dergleichen Rechnung zu tragen.
  • Moderne integrierte Schaltungen, die Transistoren mit kritischen Abmessungen von 0,05 μm und weniger enthalten, werden daher typischerweise bei deutlich erhöhten Stromdichten bis zu mehreren Kiloampere pro cm2 in den einzelnen Verbindungsstrukturen trotz des Vorsehens einer relativ großen Anzahl an Metallisierungsschichten betrieben auf Grund der deutlich größeren Anzahl an Schaltungselementen pro Einheitsfläche. Daher werden gut etablierte Materialien, etwa Aluminium zunehmend durch Kupfer und Kupferlegierungen ersetzt, d. h. durch Materialien mit einem deutlich geringeren elektrischen Widerstand und mit einer erhöhten Widerstandsfähigkeit im Hinblick auf Elektromigration selbst bei den deutlich höheren Stromdichten im Vergleich zu Aluminium. Das Einführen des Kupfers bei der Herstellung von Mikrostrukturen in integrierten Schaltungen geht jedoch mit einer Vielzahl ernster Probleme einher, die in der Tatsache des Kupfers begründet liegen, dass dieses effizient in Siliziumdioxid und einer Vielzahl an dielektrischen Materialien mit kleinem ε diffundiert, die typischerweise in Verbindung mit Kupfer verwendet werden, um die parasitäre Kapazität in komplexen Metallisierungsschichten zu verringern.
  • Eine weitere Eigenschaft des Kupfers, die es wesentlich von Aluminium unterscheidet, ist die Tatsache, dass Kupfer nicht effizient in größeren Mengen durch chemische und physikalische Dampfabscheidetechniken aufgebracht werden kann und es keine flüchtigen Ätzprodukte bildet, wenn es der Einwirkung aktuell etablierter Ätzprozesse unterzogen wird, wodurch eine Prozessstrategie erforderlich ist, die üblicherweise als Damaszener- oder Einlegetechnik bezeichnet wird. Im Damaszener-Prozess wird zunächst eine dielektrische Schicht hergestellt, die dann so strukturiert wird, dass die Gräben und/oder Kontaktlöcher aufweist, die nachfolgend mit Kupfer gefüllt werden, wobei vor dem Einfüllen des Kupfers eine leitende Barrierenschicht an Seitenwänden der Gräben und Kontaktlöcher ausgebildet wird. Das Abscheiden des Kupferfüllmaterials in die Gräben und Kontaktlöcher wird für gewöhnlich durch nasschemische Abscheideprozesse bewerkstelligt, etwa durch Elektroplattieren und stromloses Plattieren, wobei das zuverlässige Auffüllen von Kontaktlöchern mit einem Aspektverhältnis von 5 oder mehr bei einem Durchmesser von 0,3 μm oder weniger in Verbindung mit Gräben mit einer Breite im Bereich von 0,1 μm bis mehrere Mikrometer erforderlich ist. Elektro-chemische Abscheideprozesse sind für Kupfer auf dem Gebiet der Herstellung elektronischer Leiterplatten gut bekannt. Für die Abmessungen der Metallgebiete in Halbleiterbauelementen ist jedoch die hohlraumfreie Auffüllung von Kontaktlöchern mit hohem Aspektverhältnis eine äußerst komplexe und herausfordernde Aufgabe, wobei die Eigenschaften der Verbindungsstruktur auf Kupferbasis, die schließlich erhalten wird, wesentlich von Prozessparametern, Materialien und der Geometrie der interessierenden Struktur abhängt. Die grundlegende Geometrie der Verbindungsstrukturen ist im Wesentlichen durch Entwurfserfordernisse bestimmt und kann daher nicht wesentlich bei einer gegebene Mikrostruktur geändert werden, so dass es von großer Bedeutung ist, den Einfluss von Materialien, etwa von leitenden und nicht leitenden Barrierenschichten, der Kupfermikrostruktur und deren gegenseitige Wechselwirkung auf die Eigenschaften der Verbindungsstruktur abzuschätzen und zu steuern, so dass sowohl eine hohe Ausbeute als auch die erforderliche Produktzuverlässigkeit sichergestellt sind.
  • Zusätzlich zum Erreichen einer hohen Produktionsausbeute und einer hohen Zuverlässigkeit des Metallisierungssystems ist es auch wichtig, diese Ziele auf der Grundlage eines hohen Gesamtdurchsatzes des betrachteten Fertigungsprozesses zu erreichen. Beispielsweise wird die sogenannte duale Damaszener-Prozesstechnik häufig eingesetzt, in der eine Kontaktdurchführungsöffnung und ein entsprechender Graben in einer gemeinsamen Abscheidesequenz gefüllt werden, wodurch eine höhere Prozesseffizienz erreicht wird.
  • Die Damaszener-Technik oder die Einlegetechnik erfordert typischerweise das Strukturieren der Kontaktlöcher und der Gräben mittels komplexer 3 Lithographietechniken, da die Verringerung der kritischen Abmessungen in der Bauteilschicht, beispielsweise für Transistoren und andere Halbleiterschaltungselemente, auch eine entsprechende Anpassung der kritischen Abmessungen der Kontaktlöcher und Metallleitungen erfordert, die in dem Metallisierungssystem zu erzeugen sind. In einigen gut etablierten Prozesstechniken wird ein Strukturierungsschema angewendet, das üblicherweise als Vorgehensweise mit „Kontaktloch zuerst – Graben zuletzt” bezeichnet wird, wobei zumindest ein Teil des Kontaktloches zuerst auf der Grundlage einer speziellen Ätzmaske hergestellt wird und wobei daraufhin eine entsprechende Grabenätzmaske vorgesehen wird, um einen entsprechenden Graben in den oberen Bereich des dielektrischen Materials zu erzeugen, wobei abhängig von der gesamten Prozessstrategie während des Grabenätzprozesses auch der verbleibende Teil der Kontaktlochöffnung erzeugt wird, während in anderen Fällen die Kontaktlochöffnung so vorgesehen wird, dass diese sich bis hinab zu einer unterliegenden Ätzschicht erstreckt, die dann nach dem Abschluss des Grabenätzprozesses geöffnet wird.
  • Mit Bezug zu den 1a bis 1c sei nunmehr detaillierter ein typischer Aufbau und eine Fertigungsstrategie zur Herstellung kritischer Metallisierungsschichten komplexer Halbleiterbauelemente beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 in einer fortgeschrittenen Fertigungsphase. Wie gezeigt, umfasst das Bauelement 100 ein Substrat 101 und eine Halbleiterschicht 102, etwa eine Siliziumschicht, in und über welcher mehrere Schaltungselemente 103, etwa Transistoren, Widerstände, Kondensatoren und dergleichen vorgesehen sind. Die Schaltungselemente 108 umfassen typischerweise jegliche Komponenten, etwa Gateelektrodenstrukturen und dergleichen, die auf Basis kritischer Abmessungen von 50 nm oder weniger hergestellt werden. Beispielsweise sind gegenwärtig komplexe Halbleiterbauelemente in der Entwicklung, die eine Gatelänge von weniger als 30 nm erfordern, wenn komplexe Feldeffekttransistoren betrachtet werden. Die Schaltungselemente 103 sind typischerweise in einem dielektrischen Material 111 in einer Kontaktebene 110 eingebettet, wobei das dielektrische Material 111 ein beliebiges geeignetes Materialsystem, beispielsweise auf der Grundlage von Siliziumnitrid, Siliziumdioxid und dergleichen darstellt. Mehrere Kontaktelemente 112 sorgen für eine elektrische Verbindung der Schaltungselemente 103 mit einem Metallisierungssystem 120 des Bauelements 100. In der gezeigten Fertigungsphase sind Metallisierungsschichten 130, 140 Metallisierungssysteme 120 vorgesehen, wobei, wie zuvor erläutert ist, entsprechende Metallstrukturelemente in Größe und Packungsdichte an die Architektur und den Aufbau der Schaltungselemente 103 anzupassen sind. Beispielsweise umfasst die Metallisierungsschicht 13 ein beliebiges geeignetes dielektrisches Material 131, etwa ein dielektrisches Material mit kleinem ε und dergleichen, in welchem Metallleitungen 132 ausgebildet sind, die in geeigneter Weise mit den Kontaktlochelementen 132 in Verbindung stehen. Somit müssen in dicht gepackten Bauteilbereichen die Kontaktelemente 112 und die Metallleitungen 132 mit lateralen Abmessungen, d. h. in 1a in der horizontalen Richtung, hergestellt werden, die vergleichbar sind in der Größe mit den kritischen lateralen Abmessungen der Schaltungselemente 103. In ähnlicher Weise sind Metallleitungen 142 und Kontaktdurchführungen 142v der Metallisierungsschicht 140 in einem dielektrischen Material 141, etwa einem dielektrischen Material mit kleinem ε, einem ULK-(sehr kleines ε)Material und dergleichen eingebettet und sind in geeigneter Weise mit den Metallleitungen 132 verbunden.
  • In dieser Hinsicht ist ein dielektrisches Material mit kleinem ε als ein dielektrisches Material mit einer Dielektrizitätskonstante von 3,0 oder weniger zu verstehen, wobei typischerweise ein ULK-Material im Weiteren als ein dielektrisches Material betrachtet wird, das eine Dielektrizitätskonstante von 2,7 oder weniger besitzt. Typischerweise wird ein geeignetes Ätzstoppmaterial 143, das auch als Einschlussschicht oder Deckschicht für das Metallmaterial in diversen Metallstrukturelementen dient, vorgesehen, um in geeigneter Weise die komplexen Strukturierungsprozesse zur Herstellung zumindest der Kontaktdurchführungen 142v in der Metallisierungsschicht 140 zu steuern. Die Ätzstoppschicht 143 kann in Form eines Siliziummaterials mit Stickstoff, Kohlenstoff, Sauerstoff und dergleichen vorgesehen werden, um damit bessere Ätzstoppeigenschaften zu bieten, wobei nicht in unerwünschter Weise die Gesamtpermittivität des Metallisierungssystems 120 erhöht wird. Beispielsweise wurde eine Vielzahl an Materialzusammensetzungen, die auch als BLOK-Materialien (unten liegendes kleines ε) bezeichnet werden, mit einer Dielektrizitätskonstante von 4,0 oder weniger entwickelt, die einen hohen Ätzwiderstand in Bezug auf plasmaunterstützte Ätzrezepte aufweisen, die zum Strukturieren von dielektrischen Materialien mit kleinem ε verwendet werden, wobei auch eine gute Kupferdiffusionsblockierwirkung bereitgestellt wird, um damit die Kupferwanderung in empfindliche Bauteilbereiche zu unterdrücken.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozessstrategien hergestellt werden. Die Schaltungselemente 103 werden typischerweise unter Anwendung komplexer Lithographietechniken, Abscheideprozesse, Implantations- und Ätztechniken hergestellt, wobei jedoch viele der zugehörigen Lithographie- und Ätzprozesse auf der Grundlage einer reduzierten Schichtdicke im Vergleich zu dem Metallisierungssystem 120 und auch auf der Grundlage einer besseren Bauteiltopographie ausgeführt werden, wodurch die gewünschten kritischen Abmessungen häufig erreicht werden, ohne dass komplexe Hartmasken und andere Opfermaterialsysteme angewendet werden. Nach der Fertigstellung der Schaltungselemente 103 wird die Bauteilebene 110 hergestellt, in dem das dielektrische Material oder das Materialsystem 111 abgeschieden wird und indem dieses strukturiert wird, so dass Öffnungen erzeugt werden, die nachfolgend mit einem geeigneten leitenden Material gefüllt werden, wobei überschüssige Anteile davon durch CMP (chemisch-mechanisches Polieren) und dergleichen abgetragen werden, wodurch die elektrisch isolierten Kontaktelemente 112 geschaffen werden. Der Vorgang des Strukturierens der Kontaktebene 110 kann jedoch zu einer ausgeprägten Oberflächentopographie beitragen, wodurch zunehmend sehr anspruchsvolle Prozessbedingungen während der nachfolgenden Bearbeitung des Bauelements 100 geschaffen werden. Daraufhin wird die Metallisierungsschicht 130 hergestellt, indem das dielektrische Material 131 abgeschieden und dieses strukturiert wird, um ein geeignetes leitendes Material, etwa Kupfer in Verbindung mit geeigneten Barrierenmaterialien, einzufüllen. Nach dem Entfernen von überschüssigem Material wird die Ätzstoppschicht 143 aufgebracht, woran sich das dielektrische Material 141 anschließt, das ebenfalls durch komplexe Lithographietechniken unter Anwendung einer geeigneten Prozessstrategie, etwa dem „Kontaktloch zuerst”- und dergleichen strukturiert wird, wobei mindestens zwei kritische Lithographieschritte auszuführen sind, um die laterale Größe und die Lage der Kontaktdurchführungen 142v und der Metallleitungen 142l festzulegen. Typischerweise werden derartige kritische Lithographieprozesse und der nachfolgende Strukturierungsprozess zum Übertragen der Öffnungen des Lackmaterials schließlich in das darunter liegende dielektrische Material auf der Grundlage gut etablierter Steuerungsschemata ausgeführt, etwa mittels fortschrittlicher Prozesssteuerungsschemata (APC), die so gestaltet sind, dass auf der Grundlage einer moderat großen Menge an Messdaten eine Steuerung der Prozesssequenz erreicht wird, in der das Prozessergebnis, d. h. die kritischen Abmessungen der betrachteten Strukturen um den Sollwert herum angeordnet sind.
  • 1b zeigt schematisch ein entsprechendes Prozesssteuerungsschema für einen Prozessablauf zur Herstellung der Metallleitungen 142l und der Kontaktdurchführungen 142v (siehe 1a). Dazu umfasst eine Fertigungsumgebung 150 mehrere Prozess- und Messanlagen, in denen ein Lackmaterial auf der Grundlage von Photolithographie strukturiert wird und wobei schließlich Gräben und Kontaktlöcher in einem dielektrischen Material mit kleinem ε auf Grundlage geeigneter Prozessstrategien bereitgestellt werden. Wie gezeigt, stellt beispielsweise ein erstes Prozessmodul 151 einen Teil der Fertigungsumgebung 150 dar, in der mehrere Lithographieanlagen und zugehörige Prozessanlagen vorgesehen sind, um geeignete Lackmaterialschichten auf Substraten, etwa dem Substrat 101, herzustellen. Dazu umfasst das Modul 151 Aufschleuderprozessanlagen zur Herstellung einer oder mehrerer Lackschichten, Vorbelichtungsausbackanlagen, Nachbelichtungsausbackanlagen, Entwicklerstationen und dergleichen. Des weiteren umfasst das Modul 151 eine oder mehrere Lithographieanlagen, die das Lackmaterial auf der Grundlage entsprechender Lithographiemasken belichten, um damit das Maskenmuster in das Lackmaterial zu übertragen. Ferner ist ein Messmodul 152 vorgesehen, das beliebige optische Inspektionstechniken zum Bestimmen der kritischen Abmessungen der Lackstrukturelemente nach der Bearbeitung in dem Lithographiemodul 151 enthält. Somit kann das Messmodul 152 entsprechende Messdaten aus ausgewählten Substraten der Substrate 101, die in dem Modul 151 bearbeitet wurden, bereitstellen und kann die Messdaten einer Steuereinheit 155 zuführen, die auf der Grundlage eines gewünschten CD-Sollwertes einen geeigneten Sollwert für einen Prozessparameter auswählt, der wesentlich das Prozessergebnis des Prozessmoduls 151 beeinflusst. Beispielsweise liefert die Steuereinheit 155 einen Sollwert für eine Belichtungsdosis, die beim Bearbeiten des Substrats 101 anzuwenden ist, wobei die Einheit 155 den Sollwert für die Belichtungsdosis derart festlegt, dass auf der Grundlage der aus dem Modul 152 erhaltenen Messdaten Substrate 101, die in dem Modul 151 zu bearbeiten sind, im Wesentlichen mit dem CD-Sollwert übereinstimmen soll. Dazu besitzt die Steuereinheit 155 darin implementiert ein vorhersagendes Modell von beispielsweise dem Belichtungsprozess in den Modul 151, das die Messdaten aus dem Modul 152 mit dem gewünschten CD-Sollwert in Beziehung setzt. Die Fertigungsumgebung 150 umfasst ferner ein Wiederbearbeitungsmodul 153, das Substrate 101a erhält, die nicht innerhalb eines zulässigen Bereichs der kritischen Abmessungen liegen und von denen daher angenommen wird, dass sie auch außerhalb der Spezifikationen liegen, nachdem die kritischen Abmessungen der Lackschichten endgültig in das tiefer liegende Material in einem Ätzmodul 165 übertragen sind.
  • Es sollte beachtet werden, dass das Lithographiemodul 151 eine gewisse einzigartige Position im gesamten Fertigungsablauf zur Herstellung komplexer Mikrostrukturbauelemente einnimmt, da das Lackmaterial effizient entfernt werden kann, ohne dass darunter liegende Materialschichten, etwa dielektrische Materialien und dergleichen, wesentlich beeinflusst werden, so dass eine weitere Aufbringung von Lackmaterial möglich ist, um die entsprechenden Substrate in dem Lithographiemodul 151 erneut zu bearbeiten. Zu diesem Zweck ist jedoch eine Vielzahl weiterer Prozessschritte, etwa in Form von Reinigungsprozessen und dergleichen, in dem Wiederbearbeitungsmodul 153 erforderlich, wozu somit zu den gesamten Prozesskosten erheblich beigetragen wird. Auch repräsentieren die Bearbeitungsschritte der Substrate 101 in dem Lithograpiemodul 151 die kostenintensivsten Prozessschritte, so dass eine ungebührliche Erhöhung der Anzahl an Substraten, die wiederbearbeitet werden muss, wesentlich den Gesamtdurchsatz der Fertigungsumgebung 150 beeinflusst.
  • 1c zeigt schematisch einen weiteren Teil der Fertigungsumgebung 150, in welchem ein weiteres Messmodul 157 nachgeordnet zu dem Ätzmodul 156 vorgesehen ist, um Messdaten der schließlich erhaltenen kritischen Abmessungen zu liefern, d. h. von Kontaktlöchern und Gräben, die in einem dielektrischen Material mit kleinem ε des Metallisierungssystems des Substrats 101 gebildet sind. Es sollte beachtet werden, dass die Möglichkeit der Wiederbearbeitung von Substraten, die in dem Modul 156 bereits bearbeitet sind, sehr begrenzt sind, da typischerweise das Entfernen von unzulässig strukturierten dielektrischen Materialien mit kleinem ε schwer erreichbar ist, ohne dass signifikant andere Bauteilbereiche, etwa tiefer liegende Metallisierungsschichten und dergleichen, beeinflusst werden. Die aus dem Modul 157 erhaltenen Messdaten werden einer weiteren Steuereinheit 158 zugeleitet, die geeignete Sollwerte für einen oder mehrere Ätzparameter zumindest für den Ätzschritt zum Strukturieren des betrachteten dielektrischen Materials mit kleinem ε bereitstellt. Die Steuereinheit 158 versucht im Wesentlichen ein Ätzergebnis zu erreichen, das eng mit der kritischen Abmessung korreliert ist, die nach dem Lithographiemodul 151 erhalten wurde, und die an das Ätzmodul 156 weitergegeben wird, wenn diese kritische Abmessung mit dem vordefinierten zulässigen Bereich an kritischen Abmessungen verträglich ist, der durch das Messmodul 152 (siehe 1b) bestimmt wurde.
  • Folglich werden geeignete Steuerungsschemata angewendet, beispielsweise unter Anwendung statistischer Prozesssteuerungstechniken und dergleichen, in Verbindung mit APC-Strategien, so dass die endgültigen Öffnungen in dem dielektrischen Material mit kleinem ε mit tatsächlichen lateralen Abmessungen erhalten werden, die um die Sollwerte herum verteilt sind, wobei die Streuung der Verteilung die Qualität des gesamten Prozessablaufs und somit auch die Qualität der Steuerungsmechanismen angibt. Zu beachten ist, dass eine ausgeprägte Streuung der lateralen Abmessungen der jeweiligen Öffnungen deutlich das elektrische Verhalten des resultierenden Metallisierungssystems beeinflusst, da beispielsweise der Leitungswiderstand, die parasitäre Kapazität und dergleichen eng mit den lateralen Abmessungen der resultierenden Metallstrukturelemente korreliert sind.
  • Daher werden große Anstrengungen unternommen, um die gesamten Prozessschwankungen während der komplexen Prozesssequenz zum Strukturieren komplexer Metallisierungsschichten zu verringern. Beispielsweise sind häufige Wartungsaktivitäten von Geräteressourcen, etwa von Ätzanlagen und dergleichen, in den Gesamtprozessablauf eingebaut werden, um die Abweichung der kritischen Abmessungen der Öffnungen, etwa der Gräben, von Sollwerten zu reduzieren. In ähnlicher Weise werden große Anstrengungen gemacht, um Prozessungleichmäßigkeiten während der komplexen Lithographiesequenz zu vermeiden, da eine erhöhte Variabilität der kritischen Abmessungen der Lackmasken, die zur Herstellung der Gräben und der Kontaktdurchführungen verwendet werden, zu einem erhöhten Grad an Wiederbearbeitung von Substraten führt, was äußerst unerwünscht ist, da die Photolithographieprozesse typischerweise sehr kostenintensive Produktionsschritte repräsentieren. Bei einer weiteren Verringerung der kritischen Abmessungen in komplexen Metallisierungssystemen kann die zunehmende Streuung der kritischen Abmessungen, beispielsweise Metallleitungen, zunehmend zu Ausbeuteverlusten oder zu einer ausgeprägten Streuung des Leistungsverhaltens der resultierenden Halbleiterbauelementen führen, wodurch die Anzahl an Halbleiterprodukten verringert wird, die einem speziellen Leistungssegment zugeordnet werden können.
  • Angesicht der zuvor beschriebenen Situation betrifft die vorliegende Erfindung Fertigungstechniken, in denen dielektrische Materialien mit kleinem ε und ULK-Materialien komplexer Metallisierungsschichten so strukturiert werden können, dass diese Gräben zur Herstellung von Metallleitungen und Kontaktlöcher erhalten, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die Erfindung
  • Im Allgemeinen stellt die vorliegende Erfindung Fertigungstechniken bereit, in denen eine bessere Steuerung kritischer Abmessungen zumindest von Gräben und somit Metallleitungen in komplexen Metallisierungssystemen gelingt, indem ein zusätzlicher Steuerungsmechanismus zum Einstellen der kritischen Abmessungen von Gräben in komplexen dielektrischen Materialien eingerichtet wird. Zu diesem Zweck wird ein Ätzprozess zum Öffnen einer Ätzstoppschicht einer Metallisierungsschicht effizient eingesetzt, um die kritische Abmessung eines Grabens einzustellen, der in dem darüber liegenden dielektrischen Material mit kleinem ε ausgebildet ist. Die Steuerung des Ätzprozesses zum Ätzen durch das Ätzstoppmaterial kann auf der Grundlage einer polymerisierenden Gaskomponente erreicht werden, die hinzugefügt wird oder deren Zufuhr so gesteuert wird, dass eine gewünschte endgültige Breite für eine gegebene Abmessung der entsprechenden Maske erhalten wird, die auf der Grundlage gut etablierter Lithographietechniken hergestellt wurde. D. h., auf Grund des zusätzlichen Steuerungsmechanismus zum Einstellen der Breite des Grabens und somit des kritischen Abstands von Metallleitungen in dicht gepackten Bauteilgebieten kann eine erhöhte Variabilität, unabhängig davon, ob diese beabsichtigt oder zufällig eingerichtet ist, verwendet werden, wobei die endgültigen kritischen Abmessungen effizient auf der Grundlage des Ätzprozesses zum Ätzen durch die Ätzstoppschicht eingestellt werden können. Beispielsweise kann mittels des polymerisierenden Gases, das während des Ätzprozesses zum Ätzen durch die Ätzstoppschicht zugeführt wird, eine signifikante Verkleinerung des zuvor ausgebildeten Grabenbereichs erreicht werden, wodurch eine gewünschte Anpassung der endgültigen kritischen Abmessungen möglich ist, wenn beispielsweise die anfängliche Ätzmaske des Grabens als ungeeignet für die gewünschte endgültige kritische Abmessung betrachtet wird. Beispielsweise kann eine Verringerung der kritischen Abmessung von bis zu 30% der anfänglichen kritischen Abmessung der Ätzmaske oder sogar mehr erreicht werden, indem geeignete „Abscheidebedingungen” während des Ätzprozesses durch Vorsehen der polymerisierenden Gaskomponente erzeugt werden. In anderen Fällen kann generell ein grundlegender polymerisierender Gasdurchfluss während des Ätzprozesses eingerichtet werden und der entsprechende Gasdurchfluss wird reduziert, so dass die Möglichkeit geschaffen wird, die endgültigen kritischen Abmessungen zu vergrößern, wenn die anfängliche Breite als zu gering betrachtet wird. Durch Implementieren des zusätzlichen Steuerungsmechanismus auf der Grundlage des Ätzprozesses zum Ätzen durch die Ätzstoppmaterialschicht kann somit eine enge Verteilung der endgültigen kritischen Abmessungen um den Sollwert herum erreicht werden, während gleichzeitig der Bereich akzeptabeler „kritischer Eingangsabmessungen” einer Ätzmaske vergrößert wird.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Ausführen eines ersten Ätzprozesses derart, dass ein Graben in einem dielektrischen Material mit kleinem ε eine Metallisierungsschicht für ein Halbleiterbauelement gebildet wird und derart, dass eine Kontaktlochöffnung vertieft wird, so dass diese sich zu einer Ätzstoppschicht erstreckt, die unter dem dielektrischen Material mit kleinem ε ausgebildet ist. Das Verfahren umfasst ferner das Ausführen eines zweiten Ätzprozesses, dass durch die Ätzstoppschicht geätzt wird. Des weiteren umfasst das Verfahren das Einstellen der lateralen Größe des Grabens durch Steuern einer Durchflussrate eines polymerisierenden Gases in dem zweiten Ätzprozess.
  • Ein weiteres anschauliches hierin offenbartes Verfahren betrifft die Herstellung einer Kontaktlochöffnung und eines Grabens in einem dielektrischen Material mit kleinem ε in einer Metallisierungsschicht eines Halbleiterbauelements. Das Verfahren umfasst das Erhalten von Messdaten, die eine laterale Größe einer Kontaktätzmaske und/oder einer Grabenätzmaske angeben. Das Verfahren umfasst ferner das Bestimmen einer Solldurchflussrate einer polymerisierenden Gaskomponente für einen Ätzprozess unter Anwendung der Messdaten, wobei der Ätzprozess geeignet ist, durch eine Ätzstoppschicht zu ätzen, die unter dem dielektrischen Material mit kleinem ε angeordnet ist. Das Verfahren umfasst ferner das Bilden der Kontaktlochöffnung und der Grabenöffnung in dem dielektrischen Material mit kleinem ε, wobei die Grabenöffnung einen Teil der Ätzstoppschicht freilegt. Des weiteren umfasst das Verfahren das Ausführen eines Ätzprozesses unter Anwendung der Solldurchflussrate für die polymerisierende Gaskomponente.
  • Ein noch weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden einer Grabenhartmaske über einem dielektrischen Material eines Halbleiterbauelements. Das Verfahren umfasst ferner das Bilden eines Teils einer Kontaktlochöffnung in dem dielektrischen Material durch die Hartmaskenöffnung hindurch. Ferner wird ein Graben in dem dielektrischen Material gebildet, während eine Tiefe der Kontaktlochöffnung vergrößert wird, so dass diese sich zu einer Ätzstoppschicht erstreckt. Das Verfahren umfasst ferner das Einstellen einer lateralen Abmessung des Grabens durch Ätzen durch die Ätzstoppschicht und durch Steuern zumindest eines Prozessparameters.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen des hierin offenbarten Gegenstands sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a schematisch eine Querschnittsansicht eines Halbleiterbauelements zeigt, das ein komplexes Metallisierungssystem mit Metallleitungen und Kontaktdurchführungen, die in einem dielektrischen Material mit kleinem ε ausgebildet sind, aufweist;
  • 1b und 1c schematisch eine Fertigungsumgebung zeigen, die geeignet ausgestaltet ist, um die komplexe Fertigungssequenz zum Strukturieren eines dielektrischen Materials mit kleinem ε auf der Grundlage komplexer konventioneller Prozessstrategien auszuführen;
  • 2a bis 2d schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen zeigen, wenn ein Graben und ein Kontaktloch in einem dielektrischen Material mit kleinem ε einer Metallisierungsschicht gemäß anschaulicher Ausführungsformen hergestellt werden;
  • 2e bis 2h schematisch Querschnittsansichten des Halbleiterbauelements zeigen, wenn Gräben ohne Kontaktlöcher oder an Positionen hergestellt werden, in denen Kontaktdurchführungen nicht vorgesehen sind und gemäß anschaulicher Ausführungsformen;
  • 2i schematisch eine Querschnittsansicht des Halbleiterbauelements gemäß weiterer anschaulicher Ausführungsformen zeigt, wobei eine Kontaktlochätzmaske auf der Grundlage einer Prozesssequenz hergestellt wird, in der eine ARC-Schicht zum Einstellen der kritischen Abmessungen von Kontaktlochöffnungen zusätzlich zum Einstellen der Kontaktlochöffnung auf der Grundlage des Ätzprozesses zum Öffnen des Ätzstoppmaterials verwende wird; und
  • 2j schematisch eine Fertigungsumgebung zeigt, die geeignet ist, den gesteuerten Ätzprozess zum Ätzen durch die Ätzstoppschicht gemäß anschaulicher Ausführungsformen auszuführen.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibund sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Die vorliegende Erfindung betrifft die Problematik einer erhöhten Variabilität kritischer Abmessungen von Metallleitungen in sehr komplexen Halbleiterbauelementen, wobei dies gelöst wird durch Einrichten eines zusätzlichen Steuerungsmechanismus zum Einstellen der kritischen Abmessungen von Gräben beim Strukturieren komplexer dielektrischer Materialien mit kleinem ε von Metallisierungssystemen. Dazu wird ein Ätzprozess, der in einer sehr späten Phase des gesamten Strukturierungsprozesses angeordnet ist, zur Herstellung von den Kontaktlöchern und der Gräben eingesetzt, um in effizienter Weise die endgültige kritische Abmessung einzustellen. D. h. beim Ätzen durch die Ätzstoppschicht der betrachteten Metallisierungsschicht wird eine reaktive Prozessumgebung eingerichtet, in der die laterale Abtragsrate und/oder die laterale „Abscheidung” durch Polymermaterial auf der Grundlage einer polymerisierenden Gaskomponente gesteuert wird, wodurch in effizienter Weise die entsprechenden Prozessparameter für das dielektrische Material mit kleinem ε eingestellt werden. Wenn beispielsweise die anfängliche kritische Abmessung einer Ätzmaske, die zum Strukturieren der Gräben in dem dielektrischen Material mit kleinem ε, als zu groß erkannt wurde, kann das Hinzufügen oder das Erhöhen einer Konzentration der polymerisierenden Gaskomponente zu der Erzeugung einer größeren Menge an Polymersubstanzen vorzugsweise an Seitenwänden der zuvor hergestellten Öffnungen führen, wodurch deren Breite verringert wird. Die Steuerung der polymerisierenden Gaskomponente kann auf geeigneten Prozessinformationen beruhen, etwa Messdaten, die die kritischen Abmessungen nach dem Lithographieprozess beschreiben, wobei selbst eine größere Variabilität der entsprechenden kritischen Abmessungen nach dem Lithographieprozess in geeigneter Weise kompensiert werden kann durch den zusätzlichen Steuerungsmechanismus. Auf diese Weise kann ein größerer zulässiger Bereich an kritischen Abmessungen der Lithographie festgelegt werden, wodurch der Aufwand im Hinblick auf das Austauschen von Gerätekomponenten, das Ausführen zusätzlicher Reinigungsschritte und dergleichen während der vorhergehenden Prozesssequenz reduziert werden kann, während gleichzeitig eine bessere Verteilung der resultierenden endgültigen kritischen Abmessungen um die kritische Sollabmessung herum erreicht wird.
  • In einigen anschaulichen hierin offenbarten Ausführungsformen wird zusätzlich zum Einstellen der kritischen Abmessungen von Gräben und Kontaktdurchführungen während des endgültigen Ätzprozesses zum Ätzen durch das Ätzstoppmaterial eine Ätzmaske für die Kontaktlochöffnungen auf der Grundlage eines geeigneten Ätzprozesses gebildet, in welchem eine gewünschte Neueinstellung der kritische Abmessungen unabhängig von der jeweiligen Lithographiemaske eingerichtet wird. Dazu wird ein siliziumenthaltendes ARC-Material geeignet so strukturiert, dass es eine gewünschte kritische Abmessung eines Hartmaskenmaterials einstellt, das während des Strukturierens des dielektrischen Materials mit kleinem ε verwendet wird, um damit zumindest einen oberen Bereich der Kontaktlochöffnungen zu erzeugen. Auf diese Weise kann ein gewisser Grad an Unabhängigkeit zum Steuern der Gräben und der Kontaktlochöffnungen bereitgestellt werden, wodurch die Gesamtflexibilität bei der Einstellung der kritischen Abmessungen bei den Metallstrukturelementen verbessert wird.
  • Mit Bezug zu den 2a bis 2i werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, wobei auch bei Bedarf auf die 1a bis 1c verwiesen wird.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 mit einem Substrat 201, über welchem ein Metallisierungssystem 220 ausgebildet ist, das in der gezeigten Fertigungsphase eine erste Metallisierungsschicht 230 und eine zweite Metallisierungsschicht 240 aufweist. Es sollte ferner beachtet werden, dass typischerweise eine Halbleiterschicht über dem Substrat 201 ausgebildet ist, in und über welcher Schaltungselemente vorgesehen sind, etwa Transistoren, Widerstände, Kondensatoren und dergleichen, entsprechend der gesamten Ausgestaltung des Bauelements 200. Beispielsweise können Schaltungselemente auf der Grundlage kritischer Abmessungen von 50 nm und deutlich weniger bereitgestellt sein, wie dies auch zuvor mit Bezug zu dem Halbleiterbauelement 100 in 1a erläutert ist. In ähnlicher Weise umfasst die Metallisierungsschicht 230 ein geeignetes dielektrisches Material 231, etwa ein dielektrisches Material mit kleinem ε, ein ULK-Material und dergleichen, in welchem Metallleitungen 232 vorgesehen sind, etwa in Form von Metallleitungen mit einem gut leitenden Kernmetall, etwa mit Kupfer und dergleichen, möglicherweise in Verbindung mit einem oder mehreren Barrierenmaterialien. Des weiteren umfasst in der gezeigten Fertigungsphase die Metallisierungsschicht 240 ein dielektrisches Material mit kleinem ε 241 oder ein ULK-Material in Verbindung mit einer Ätzstoppschicht 243, die beispielsweise in Form einer BLOK-Schicht (unten liegende Schicht mit kleinem ε) vorgesehen ist, die eine Dielektrizitätskonstante von 4,0 oder weniger besitzt und die geeignete Materialien aufweist, Silizium, Stickstoff, Sauerstoff, Wasserstoff und dergleichen, wie dies auch zuvor erläutert ist. Des weiteren umfasst die Metallisierungsschicht 240 ein Deckmaterial 244, wenn die mechanische und/oder die chemische Stabilität des dielektrischen Materials mit kleinem ε 241 als unzureichend für die weitere Bearbeitung des Bauelements 200 erachtet wird. Es sollte beachtet werden, dass typischerweise eine kleinere Dielektrizitätskonstante eines Materials mit einer geringeren chemischen und/oder mechanischen Stabilität verknüpft ist, da häufig viele ULK-Materialien auf der Grundlage einer porösen Struktur bereitgestellt werden, was zu einem gewissen Grad an Schädigung beim Ausführen gewisser Prozesse führt, etwa von CMP-Prozessen (chemisch-mechanises Polieren), Ätzprozessen und dergleichen. Z. B. kann die Deckschicht 244 in Form eines siliziumoxidbasierten Materials und dergleichen vorgesehen werden. In einigen anschaulichen Ausführungsformen wird eine zusätzliche Materialschicht 204, die als ein Hartmaskenmaterial dient, über dem dielektrischen Material 241 mit kleinem ε vorgesehen, beispielsweise eines Siliziumoxidmaterials. Des weiteren wird eine Ätzmaske 245 über dem dielektrischen Material mit kleinem ε 241 bereitgestellt, wobei in einigen anschaulichen Ausführungsformen das Maskenmaterial 245 in Form eines metallenthaltenden Materials, etwa als Tantalnitrid, Titannitrid, Tantal, Aluminium, Titan und dergleichen, vorgesehen wird. Es ist gut bekannt, dass viele dieser Materialien gut etablierte metallenthaltende Materialien darstellen, die beispielsweise als effiziente leitende Barrierenmaterialien und dergleichen eingesetzt werden, so dass die jeweiligen Materialeigenschaften gut bekannt sind. Beispielswiese ist es bekannt, dass viele dieser Materialien einen hohen Ätzwiderstand im Hinblick auf eine Vielzahl von plasmabasierten Ätzrezepten zeigen, die zum Ätzen des dielektrischen Materials mit kleinem ε 241 eingesetzt werden können, wodurch eine relativ geringe Schichtdicke für die Maske 245 anwendbar ist, wobei dennoch ein effizienter Ätzwiderstand erreicht wird. Andererseits kann die Maske 245 auf der Grundlage einer Vielzahl an nasschemischen Ätzrezepten mit einem hohen Grad an Selektivität in Bezug auf das dielektrische Material mit kleinem ε 241 und das Deckmaterial 244, falls dieses vorgesehen ist, entfernt werden. In der gezeigten Fertigungsphase besitzt die Maske 245 geeignete Öffnungen 245a, die im Wesentlichen die laterale Größe und Lage eines Grabens festlegen, der in dem dielektrischen Material mit kleinem ε 241 zu erzeugen ist.
  • Das in 2a gezeigte Halbleiterbauelement 200 kann auf der Grundlage einer beliebigen geeigneten Prozessstrategie hergestellt werden, wie sie beispielsweise auch zuvor mit Bezug zu dem Halbleiterbauelement 100 beschrieben ist. Insbesondere kann der Schichtstapel der Metallisierungsschicht 240 auf der Grundlage gut etablierter Abscheidetechniken aufgebracht werden, wobei die Maske 245 auf Basis eines komplexen Lithographieprozesses hergestellt werden kann, in welchem ein geeignetes Lackmaterial gemäß den Erfordernissen komplexer Lithographietechniken strukturiert wird, wie dies auch zuvor erläutert ist. Auf Grund der sehr geringen Dicke der Schicht 245 bietet das Lackmaterial einen ausreichenden Ätzwiderstand, um eine effiziente Strukturierung des Materials 245 möglicherweise in Verbindung mit ARC-Materialien oder anderen Opfermaterialschichten zu ermöglichen. Daraufhin wird eine geeignete Ätzmaske (nicht gezeigt) vorgesehen, um die laterale Größe und Lage von Kontaktlochöffnungen festzulegen, die in dem Material 241 zu bilden sind, wobei, wie zuvor erläutert ist, eine entsprechende Ätzmaske auf der Grundlage von Lithographietechniken hergestellt werden kann, woraus sich ein gewisser Grad an Prozessschwankungen im Hinblick auf die Justierung und die kritischen Abmessungen ergibt. Z. B. wird eine entsprechende Ätzmaske auf der Basis von ARC-Materialien, etwa Lackmaterialien und dergleichen hergestellt, wie dies auch nachfolgend detaillierter mit Bezug zu 2i erläutert ist. Beispielsweise wird eine entsprechende Ätzmaske verwendet, um ein entsprechendes Maskenmuster in die Materialschicht 204 innerhalb der Grabenöffnung 245a so zu übertragen, dass schließlich die Masken 245 und 274 für den Ätzprozess verwendet werden, um das Kontaktlochmuster in das tiefer liegende Material 241 zu übertragen.
  • 2b zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein Teil von Kontaktlochöffnungen 241v in den Materialien 244 falls vorgesehen, und in dem dielektrischen Material mit kleinem ε 241 ausgebildet, wobei die Kontaktlochöffnung 241v sich bis zu einer gewünschten Tiefe erstreckt, die eine Fertigstellung der Kontaktlochöffnungen 241f während eines nachfolgenden Grabenstrukturierungsprozesses ermöglicht. Es sollte beachtet werden, dass die kritischen Abmessungen der Kontaktlochöffnungen 241v im Wesentlichen durch die entsprechende Ätzmaske (nicht gezeigt) und die Parameter eines zugehörigen Ätzprozesses bestimmt sind.
  • 2c zeigt schematisch das Halbleiterbauelement 200, wenn es der Einwirkung einer reaktiven Ätzumgebung 208 unterliegt, in welcher in einer ersten Phase die Schicht 204 geöffnet wird, beispielsweise auf der Grundlage gut etablierter Ätzrezepte zum Ätzen durch Siliziumdioxidmaterial, woran sich die Deckschicht 244 anschließt, falls diese vorgesehen ist. Daraufhin wird das dielektrische Material mit kleinem ε 241 geätzt, beispielsweise unter Anwendung eines ähnlichen Ätzrezepts, wie es auch zuvor zur Herstellung der Kontaktlochöffnung 241v angewendet wurde. Beispielsweise können kohlenstoff- und fluorenthaltende Vorstufengase verwendet werden, beispielsweise in Kombination mit Argon, Stickstoff und dergleichen, wobei auch eine geeignete Plasmaleistung, ein Druck und eine Temperatur ausgewählt werden. Zu beachten ist, dass eine Vielzahl von Ätzrezepten zum Ätzen von beispielsweise siliziumdioxidbasierten dielektrischen Materialien mit kleinem ε oder ULK-Materialien verfügbar ist und für diesen Zweck eingesetzt werden können. Geeignete Prozessparameter können effizient ermittelt werden, indem derartige Rezepte verwendet werden oder indem Experimente ausgeführt werden, beispielsweise unter Anwendung der zuvor genannten Chemien. Während des Ätzprozesses 208 werden folglich die Kontaktlochöffnungen 241f vertieft, so dass diese sich schließlich zu der Ätzstoppschicht 243 erstrecken, während gleichzeitig ein Graben 241t in dem oberen Bereich des dielektrischen Materials mit kleinem ε 241 erzeugt werden. Auf Grund der im Wesentlichen anisotropen Natur des Ätzprozesses 208 sind die kritischen Abmessungen des Grabens 241t im Wesentlichen durch die Ätzmaske 245 bestimmt, wobei jedoch, wie zuvor erläutert ist, eine gewisse Streuung in der lateralen Abmessung der Maske 245 auf Grund von Prozessschwankungen während der vorhergehenden Bearbeitung erzeugt worden sein kann. Da die endgültige kritische Abmessung des Grabens 241t in einem nachfolgenden Ätzprozess eingestellt wird, kann eine weitere Neueinstellung der kritischen Abmessung erreicht werden, wodurch schließlich eine engere Verteilung um eine gewünschte endgültige kritische Abmessung herum erreichbar ist, selbst wenn ein größerer Bereich an Variabilität der kritischen Abmessungen der Maske 245 akzeptiert wird.
  • 2d zeigt schematisch das Halbleiterbauelement 200, wenn es der Einwirkung einer weiteren reaktiven Ätzumgebung 209 unterliegt, in welcher eine geeignete Ätzchemie so angewendet wird, dass durch die Ätzstoppschicht 243 geätzt wird. Dazu können gut etablierte grundlegende Ätzchemien angewendet werden, beispielsweise auf der Grundlage von Schwefelfluorid (SF6) und Sauerstoff, wobei dies von der Zusammensetzung der Ätzstoppschicht 243 abhängt. Es sollte beachtet werden, dass die Schicht 243 typischerweise Silizium, Kohlenstoff und stickstoffenthaltendes Material umfasst, das so abgeschieden und behandelt werden kann, dass es die geringe Permittivität bietet, während andererseits Materialsysteme mit zwei oder mehr Teilschichten in anderen Fallen ebenfalls verwendet werden können. Während des Ätzprozesses 209 wird die angesteckte Breite 241w des Grabens 241t ermittelt, indem zumindest ein Prozessparameter, etwa die Durchflussrate, bei einem vorgegebenen Prozessdruck einer polymerisierenden Gaskomponente gesteuert wird, die einen wesentlichen Einfluss auf die laterale Ätzrate und/oder Abscheiderate ausübt. D. h., durch Hinzufügen einer polymerisierenden Gaskomponente, etwa CHxFy, etwa in Form von CHF3, CH22, F2, CH3F, und dergleichen, kann eine mehr oder minder ausgeprägte inerte Polymerschicht an Seitenwänden des Grabens 241t und auch der Kontaktlöcher 241v erzeugt werden, wobei eine entsprechende Materialschicht durch den Ionenbeschuss während des plasmagestützten Ätzprozesses 209 abgetragen wird. Folglich kann durch Hinzufügen des polymerisierenden Gases die laterale Ätzrate verringert werden oder diese kann auf nahezu Null gebracht werden, oder es kann sogar eine „negative” Ätzrate, d. h. ein gewisser Grad an Materialabscheidung, eingerichtet werden. Beim Gestalten eines grundlegenden Ätzrezepts für den Prozess 209 derart, dass ein gewünschtes Ätzverhalten auf der Grundlage einer gewissen grundlegenden Konzentration eines polymerisierenden Gases erreicht werden kann, kann beispielsweise beginnend von diesem Rezept ein Anstieg der Breite 241w erreicht werden, indem die entsprechende Gasdurchflussrate verringert wird oder indem die Zufuhr zu der Umgebung 209 unterbrochen wird, während eine Verringerung der Breite 241w erreicht wird, indem die Durchflussrate der polymerisierenden Gaskomponente erhöht wird. Auf diese Weise ist ein effizienter Mechanismus eingerichtet, um die endgültige Breite 241w in einer sehr fortschrittlichen Phase des gesamten Strukturierungsprozesses zur Herstellung des Grabens 241t und der Kontaktlochöffnungen 241v eingerichtet. Es sollte beachtet werden, dass auch eine Breite 241u der Kontaktlöcher 241v durch die Steuerung der polymerisierenden Gaskomponente beeinflusst ist, so dass auch ein weiterer Steuerungsmechanismus anwendbar ist, um die Breite der Kontaktlochöffnungen 241v, wie es nach dem anfänglichen Ätzprozess, dessen Ergebnis in 2b gezeigt ist, erhalten wird, erneut einzustellen.
  • 2e zeigt schematisch das Halbleiterbauelement 200 für die oben beschriebene Prozesssequenz für Gräben, die keine vertikale Verbindung zu der tiefer liegenden Metallisierungsschicht erfordern. D. h., die Schicht 204 wird nicht in der Öffnung 245a zur Herstellung von Kontaktlochöffnungen strukturiert.
  • 2f zeigt schematisch das Bauelement 200 für den Graben oder Grabenbereich ohne Kontaktlöcher nach dem Kontaktlochätzprozess, was zu den Kontaktlochöffnungen 241v führt, wie sie in 2b gezeigt ist, wobei diese an Positionen liegen, in denen Kontaktlöcher für die Verbindung zu der darunter liegenden Metallisierungsschicht erforderlich sind.
  • 2g zeigt schematisch das Bauelement 200 während des Ätzprozesses 208, wobei durch die Schichten 204 und 244 geätzt wird, und schließlich in das dielektrische Material mit kleinem ε 241 geätzt wird, wodurch der Graben 241t mit einer Anfangsbreite 241w gebildet wird, die im Wesentlichen durch die Breite 245w der Ätzmaske 245 und die Parameter des Ätzprozesses 208 bestimmt ist.
  • 2h zeigt schematisch das Bauelement 200 während des Ätzprozesses 209, der auf der Grundlage einer gesteuerten Gasdurchflussrate einer polymerisierenden Gaskomponente ausgeführt wird, wie dies zuvor beschrieben ist. Folglich kann die Breite 241w eingestellt werden, d. h. vergrößert oder verringert werden, wobei dies von der anfänglichen Breite der Maske 245 abhängt, wie dies beispielsweise als 245w in 2g gezeigt ist.
  • Da die Steuerung des Ätzprozesses 209 (siehe 2h und 2d) auf der Grundlage von Prozessinformationen eingerichtet werden kann, die den Status des Bauelements nach dem Lithographieprozess angeben, wodurch auch die Breite der Ätzmaske 245 (siehe 2g) repräsentiert ist, kann folglich eine große Bandbreite an kritischen Abmessungen der Ätzmaske 245w akzeptiert werden und diese können zu einer gewünschten endgültigen Breite des Grabens 241t eingestellt werden, wodurch eine engere Verteilung der endgültigen kritischen Abmessung um den gewünschten Sollwert herum erreicht wird.
  • 2i zeigt schematisch das Halbleiterbauelement 200 in einer Prozessphase, in der die Kontaktlochöffnungen 241v in einem Teil des dielektrischen Materials 241 ausgebildet sind. Wie gezeigt, umfasst das Bauelement ein optisches Einebnungsmaterial 205, das die Form eines organischen Materials und dergleichen bereitgestellt werden kann, woran sich eine siliziumenthaltende ARC-Schicht 206 anschließt, über der ein Lackmaterial 207 vorgesehen ist, in welchem entsprechende Maskenöffnungen 207a ausgebildet sind. Wie zuvor erläutert ist, werden kritische Lithographieprozesse auf der Grundlage einer geringeren Belichtungswellenlänge ausgeführt, beispielsweise gegenwärtig von 193 nm in kritischen Belichtungsprozessen, wodurch Lackmaterialien erforderlich sind, die mit einer geringeren Dicke bereitzustellen sind, um damit der reduzierten Fokustiefe und anderen optischen Eigenschaften zum Übertragen des Maskenmusters in das Lackmaterial 207 Rechnung zu tragen. Dazu wird typischerweise ein effizientes ARC-Material notwendig, das für eine bessere Oberflächentopographie sorgt und das effizient eine Rückreflektion in das Lackmaterial 207 unterdrücken kann. Zu diesem Zweck wird vorzugsweise ein organisches Material verwendet, um eine bessere Oberflächenebenheit zu schaffen, wobei das Material mit einer ausreichenden Dicke auf der Grundlage von Aufschleudertechniken aufgebracht wird, während andererseits ein gewünschter hoher Ätzwiderstand auf der Grundlage einer zusätzlichen ARC-Schicht erreicht wird, die darin eingebaut eine anorganische Komponente, etwa Silizium, aufweist. Auf diese Weise kann das Muster der Schicht 207 effizient in die siliziumenthaltende ARC-Schicht 205 übertragen werden, die nachfolgend als Ätzmaske zum Ätzen durch das organische Material 205 und schließlich in die Schicht 204 verwendet wird, wobei diese dann als Hartmaske zur Erzeugung der Kontaktlochöffnungen 241v verwendet wird. In den in 2i gezeigten Ausführungsformen wird zumindest der Ätzprozess 260 zum Strukturieren der siliziumenthaltenden ARC-Schicht 206 auf der Grundlage eines Ätzrezepts ausgeführt, in welchem die resultierende Breite 206w der Öffnungen der Schicht 206 effizient gesteuert wird, im Wesentlichen unabhängig von der „Eingangsbreite” der Öffnung 207a in dem Lackmaterial 207, wodurch ein zusätzlicher Steuerungsmechanismus bereitgestellt wird. Beispielsweise wird der Ätzprozess 260 als ein zweistufiger Ätzprozess ausgeführt unter Anwendung eines kohlenstoff- und fluorenthaltenden Gases, beispielsweise in Verbindung mit einer polymerisierenden Gaskomponente, etwa mit CH2F2 und Sauerstoff. Somit kann in einem entsprechenden Ätzschritt beispielsweise die Sauerstoffkonzentration geeignet eingestellt werden, um die laterale Ätzrate zu steuern, wodurch eine effiziente Änderung der Breite 206w möglich ist. In einem zweiten Schritt kann eine Kohlenstoff- und Fluorgaskomponente möglicherweise in Verbindung mit Kohlenstoff, Wasserstoff und fluorenthaltenden Gas zugeführt werden, ohne dass die Sauerstoffsorte verwendet wird, so dass eine unerwünschte Ätzung des darunter liegenden Materials 205 vermieden wird, wobei in diesem Falle die polymerisierende Gaskomponente so gesteuert werden kann, dass die schließlich erreichte Breite 206w eingestellt wird. Auf diese Weise können die Kontaktlöcher 241v in einen oberen Bereich des Materials 241 unabhängig von der Variabilität der kritischen Abmessungen des Lackmaterials 207 hergestellt werden, und somit ist eine unterschiedliche anfängliche Breite der Kontaktlochöffnungen zulässig, die dann während des Ätzprozesses 209 (siehe 2d) weiter verringert wird, wobei der gewünschte Grad an Schwankung in den lateralen Abmessungen insbesondere im Hinblick auf das Erreichen einer gewünschten Grabenbreite festgelegt wird, während andererseits die Breite 241u (siehe 2w) die Kombination der Steuerungsmechanismen entspricht, die während des Ätzprozesses 260 in 2i und dem Prozess 209 in 2d bereitgestellt werden.
  • 2j zeigt schematisch einen Teil einer Fertigungsumgebung 250, in der der zuvor beschriebene zusätzliche Prozesssteuerungsmechanismus eingerichtet ist. Wie gezeigt, ist ein Ätzmodul 256 vorgesehen, das das Substrat 201 empfängt, das beispielsweise in den 2b, 2f gezeigt ist. Die Substrate 201 können einen Messprozess in einem Modul 252 unterzogen worden sein, der Messdaten 252a bereitstellt, die den Status der kritischen Abmessungen zumindest nach dem Photolithographieprozess angeben. Ferner ist ein Ätzmodul 256a vorgesehen, das in geeigneter Weise ausgestaltet ist, zumindest den Ätzprozess 209, wie er in den 2d und 2a gezeigt ist, durchzuführen, wobei zumindest ein Prozessparameter so gesteuert wird, dass eine gewünschte endgültige kritische Abmessung erreicht wird, die dann auf der Grundlage eines Messmoduls 257 bestimmt wird, das somit entsprechende Messdaten 257a eine Steuereinheit 258 zuführt. Die Steuereinheit 258 repräsentiert einen geeigneten APC-Mechanismus, der das Modul 256 beispielsweise auf der Grundlage gut etablierter konventioneller Prozessstrategien und das Modul 256a auf der Grundlage zumindest der eingespeisten Messdaten 252a und eines Sollwertes für die kritische Abmessung, der durch 257t angegeben ist, steuert. Beispielsweise kann die Steuerung 258 darin eingerichtet ein vorhersagendes Modell für den Ätzprozess aufweisen, der in dem Modul 256a ausgeführt wird, wobei das entsprechende Prozessmodell zumindest einen Prozessparameter, etwa die Durchflussrate oder die Konzentration eines polymerisierenden Gases, mit einem Prozessergebnis im Hinblick auf eine kritische Abmessung, beispielsweise auf Grund einer Modifizierung der lateralen Ätzrate/Abscheiderate in Beziehung setzt. Somit liefert die Steuerung 258 zumindest auf der Grundlage des Modells und der eingespeisten Daten 252a einen neu eingestellten Sollwert 258a für zumindest einen Steuerungsprozessparameter, etwa die Durchflussrate des polymerisierenden Gases, um ein Prozessergebnis vorherzusagen, das nahe an dem Sollwert 257t liegt. Auf dieses Weise wird eine Vorwärtskopplungssteuerungsschleife durch die Steuerung 258 auf Basis der Messdaten 252a für das Ätzmodul 256a eingerichtet. Es sollte beachtet werden, dass auch die Rückkopplungsmessdaten 257a zum Verbessern der Gesamtsteuerungseffizienz für den Block 256a verwendet werden können. Ferner können die Daten 257a auch zum Steuern des Moduls 256 verwendet werden, wie dies auch zuvor erläutert ist.
  • Es gilt also: Die vorliegende Erfindung stellt Fertigungstechniken bereit, in denen eine bessere Verteilung der endgültigen kritischen Abmessungen von Gräben, die in dielektrischen Materialien mit kleinem ε gebildet sind, für einen breiteren Bereich an eintreffenden kritischen Abmessungen nach dem kritischen Lithographieprozess erreicht wird, wodurch das Gesamtleistungsverhalten der Halbleiterbauelemente verbessert wird, während gleichzeitig der gesamte Prozessdurchsatz erhöht wird, indem die Anzahl zusätzlicher nasschemischer Reinigungsprozesse verringert wird, indem die Anzahl an Wartungsaktivitäten verringert wird, und indem der Bereich akzeptabler Lithographieschwankungen vergrößert wird, was schließlich zu einem geringeren Grad an Wiederbearbeitung führt. Dazu wird der abschließende Ätzschritt zum Ätzen durch die Ätzstoppschicht auf der Grundlage einer polymerisierenden Gaskomponente gesteuert, um damit die laterale Ätzrate/Abscheiderate einzustellen, die zu einer gewünschten Steuerung der endgültig erreichten kritischen Abmessung der Gräben und damit auch der Abstände der Gräben in dicht gepackten Bauteilbereichen führt. Auf der Grundlage der Gräben und der Kontaktlochöffnungen, die mit einem gegebenen Sollwert verträglich sind, kann die weitere Bearbeitung mit besserer Prozessgleichmäßigkeit fortgesetzt werden, indem die Öffnungen mit einem geeigneten Materialsystem aufgefüllt werden, so dass nach dem Entfernen von überschüssigem Metall die entsprechenden Metallleitungen und Kontaktdurchführungen eine bessere Leistungseigenschaft und Gleichmäßigkeit im Vergleich zu konventionellen Strategien zeigen.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher dient diese Beschreibung lediglich anschaulichen Zwecken und soll dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Prinzipien vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (20)

  1. Verfahren mit: Ausführen eines ersten Ätzprozesses derart, dass ein Graben in einem dielektrischen Material mit kleinem ε einer Metallisierungsschicht eines Halbleiterbauelements erzeugt wird und derart, dass ein Kontaktloch vertieft wird, das es sich zu einer Ätzstoppschicht erstreckt, die unter dem dielektrischen Material mit kleinem ε gebildet ist; Ausführen eines zweiten Ätzprozesses derart, dass durch die Ätzstoppschicht geätzt wird; und Einstellen einer lateralen Größe eines Grabens durch Steuern einer Durchflussrate eines polymerisierenden Gases in dem zweiten Ätzprozess.
  2. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer Hartmaske über dem dielektrischen Material mit kleinem ε, wobei die Hartmaske eine Grabenmaskenöffnung mit einer zweiten lateralen Größe, die sich von der lateralen Größe unterscheidet, aufweist.
  3. Verfahren nach Anspruch 2, wobei die Hartmaske aus einem metallenthaltenden Material hergestellt wird.
  4. Verfahren nach Anspruch 1, wobei Einstellen einer lateralen Größe des Grabens umfasst: Erhalten von Messdaten nach dem Ausführen eines Lithographieprozesses, der zur Herstellung der Hartmaske verwendet wird, und Bestimmen eines Sollwertes der Durchflussrate unter Anwendung der Messdaten.
  5. Verfahren nach Anspruch 1, wobei das polymerisierende Gas Kohlenstoff, Wasserstoff und Fluor aufweist.
  6. Verfahren nach Anspruch 1, wobei die laterale Größe ungefähr 100 nm (Nanometer) oder weniger beträgt.
  7. Verfahren nach Anspruch 1, das ferner umfasst: Bilden des Kontaktlochs derart, dass dieses sich in das dielektrische Material erstreckt, unter Anwendung einer Ätzmaske mit einer Kontaktlochmaskenöffnung.
  8. Verfahren nach Anspruch 7, wobei Bilden des Kontaktlochs derart, dass dieses sich in das dielektrische Material erstreckt, umfasst: Ausführen eines Ätzprozesses und Steuern mindestens eines Prozessparameters derart, dass eine laterale Größe der Kontaktlochmaskenöffnung eingestellt wird.
  9. Verfahren nach Anspruch 8, wobei der zweite Ätzprozess so ausgeführt wird, dass dieser zumindest einen ersten Ätzschritt basierend auf einer ersten reaktiven Prozessumgebung und einen zweiten Schritt basierend auf einer zweiten reaktiven Prozessumgebung, die sich von der ersten reaktiven Ätzumgebung unterscheidet, aufweist.
  10. Verfahren nach Anspruch 1, wobei das dielektrische Material eine Dielektrizitätskonstante von 2,7 oder weniger aufweist.
  11. Verfahren zur Herstellung eines Kontaktlochs und eines Grabens in einem dielektrischen Material mit kleinem ε einer Metallisierungsschicht eines Halbleiterbauelements, wobei das Verfahren umfasst: Erhalten von Messdaten, die eine laterale Größe einer Kontaktlochätzmaske und/oder einer Grabenätzmaske angeben; Bestimmen einer Solldurchflussrate einer polymerisierenden Gaskomponente für einen Ätzprozess unter Anwendung der Messdaten, wobei der Ätzprozess geeignet ist, durch eine Ätzstoppschicht zu ätzen, die unter dem dielektrischen Material mit kleinem ε ausgebildet ist; Bilden der Kontaktlochöffnung und des Grabens in dem dielektrischen Material mit kleinem ε, wobei die Kontaktlochöffnung einen Teil der Ätzstoppschicht freilegt; und Ausführen des Ätzprozesses unter Anwendung der Solldurchflussrate der polymerisierenden Gaskomponente.
  12. Verfahren nach Anspruch 11, wobei Bilden der Kontaktlochöffnung und des Grabens umfasst: Bilden einer Hartmaske mit einer Grabenmaskenöffnung.
  13. Verfahren nach Anspruch 12, wobei die Hartmaske aus einem metallenthaltenden Material hergestellt wird.
  14. Verfahren nach Anspruch 11, wobei Bilden der Kontaktlochöffnung umfasst: Bilden einer zweiten Hartmaske mit einer Kontaktlochmaskenöffnung.
  15. Verfahren nach Anspruch 11, wobei Bestimmen der Solldurchflussrate umfasst: Bestimmen einer Durchflussrate derart, dass eine effektive laterale Größe der Kontaktlochöffnung und des Grabens im Vergleich zu der lateralen Größe, die durch die Messdaten angegeben sind, verringert wird.
  16. Verfahren nach Anspruch 11, wobei Bestimmen der Solldurchflussrate umfasst: Bestimmen einer Durchflussrate derart, dass eine effektive laterale Größe der Kontaktlochöffnung und des Grabens im Vergleich zu der lateralen Größe, die durch die Messdaten angegeben ist, vergrößert wird.
  17. Verfahren nach Anspruch 11, wobei das polymerisierende Gas Kohlenstoff, Wasserstoff und Fluor aufweist.
  18. Verfahren mit: Bilden einer Grabenhartmaske über einem dielektrischen Material eines Halbleiterbauelements; Bilden eines Teils einer Kontaktlochöffnung in dem dielektrischen Material durch die Hartmaskenöffnung hindurch; Bilden eines Grabens in dem dielektrischen Material, während eine Tiefe des Kontaktlochs so vergrößert wird, dass diese sich bis zu einer Ätzstoppschicht erstreckt; und Einstellen einer lateralen Abmessung des Grabens durch Ätzen durch die Ätzstoppschicht und Steuern mindestens eines Parameters.
  19. Verfahren nach Anspruch 18, wobei Steuern mindestens eines Prozessparameters umfasst: Steuern zumindest einer Durchflussrate eines polymerisierenden Gases, wenn durch die Ätzstoppschicht geätzt wird.
  20. Verfahren nach Anspruch 18, wobei das dielektrische Material ein dielektrisches Material mit sehr kleinem ε einer Metallisierungsschicht ist.
DE102010038736A 2010-07-30 2010-07-30 Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht Withdrawn DE102010038736A1 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102010038736A DE102010038736A1 (de) 2010-07-30 2010-07-30 Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht
US13/157,863 US20120028376A1 (en) 2010-07-30 2011-06-10 Method of Controlling Critical Dimensions of Trenches in a Metallization System of a Semiconductor Device During Etch of an Etch Stop Layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102010038736A DE102010038736A1 (de) 2010-07-30 2010-07-30 Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht

Publications (1)

Publication Number Publication Date
DE102010038736A1 true DE102010038736A1 (de) 2012-02-02

Family

ID=45471160

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102010038736A Withdrawn DE102010038736A1 (de) 2010-07-30 2010-07-30 Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht

Country Status (2)

Country Link
US (1) US20120028376A1 (de)
DE (1) DE102010038736A1 (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010038740B4 (de) * 2010-07-30 2019-08-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Steuern kritischer Abmessungen von Kontaktdurchführungen in einem Metallisierungssystem eines Halbleiterbauelements während der Ätzung einer Si-Antireflektierungsschicht
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
JP6125769B2 (ja) * 2012-07-06 2017-05-10 ローム株式会社 半導体装置、液晶表示装置、電子機器
KR101831383B1 (ko) * 2013-05-21 2018-02-22 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 검사 방법 및 장치에서 사용되는 기판, 및 디바이스 제조 방법
JP6138619B2 (ja) * 2013-07-30 2017-05-31 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030119305A1 (en) * 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US20090087992A1 (en) * 2007-09-28 2009-04-02 Chartered Semiconductor Manufacturing Ltd. Method of minimizing via sidewall damages during dual damascene trench reactive ion etching in a via first scheme

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US7078350B2 (en) * 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030119305A1 (en) * 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US20090087992A1 (en) * 2007-09-28 2009-04-02 Chartered Semiconductor Manufacturing Ltd. Method of minimizing via sidewall damages during dual damascene trench reactive ion etching in a via first scheme

Also Published As

Publication number Publication date
US20120028376A1 (en) 2012-02-02

Similar Documents

Publication Publication Date Title
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102006030267B4 (de) Nano-Einprägetechnik mit erhöhter Flexibilität in Bezug auf die Justierung und die Formung von Strukturelementen
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102009046242B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Kontaktdurchführungen mit unterschiedlicher Größe durch Aufteilen des Kontaktlochstrukturierungsprozesses
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102005020132B4 (de) Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102008026134A1 (de) Mikrostrukturbauelement mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102014117338A1 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102008063430A1 (de) Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102010064289A1 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102009010845B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102008016424A1 (de) Verringern der Strukturierungsschwankung von Gräben in Metallisierungsschichtstapeln mit einem Material mit kleinem ε durch Verringerung der Kontamination der Grabendielektrika
DE102008049727A1 (de) Kontaktelemente und Kontaktdurchführungen eines Halbleiterbauelements, die durch eine Hartmaske und Doppelbelichtung hergestellt sind
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE112006000811T5 (de) Ätzprozess für CD-Reduzierung eines ARC-Materials
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102010038736A1 (de) Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht
DE102007035898B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102008026211B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht
DE102008044964A1 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssysteme von Halbleiterbauelementen durch die Herstellung von Aussparungen

Legal Events

Date Code Title Description
R016 Response to examination communication
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee