DE102008044964A1 - Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssysteme von Halbleiterbauelementen durch die Herstellung von Aussparungen - Google Patents

Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssysteme von Halbleiterbauelementen durch die Herstellung von Aussparungen Download PDF

Info

Publication number
DE102008044964A1
DE102008044964A1 DE102008044964A DE102008044964A DE102008044964A1 DE 102008044964 A1 DE102008044964 A1 DE 102008044964A1 DE 102008044964 A DE102008044964 A DE 102008044964A DE 102008044964 A DE102008044964 A DE 102008044964A DE 102008044964 A1 DE102008044964 A1 DE 102008044964A1
Authority
DE
Germany
Prior art keywords
dielectric material
metal
dielectric
forming
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102008044964A
Other languages
English (en)
Other versions
DE102008044964B4 (de
Inventor
Robert Seidel
Ralf Richter
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
AMD Fab 36 LLC and Co KG
Advanced Micro Devices Inc
AMD Fab 36 LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AMD Fab 36 LLC and Co KG, Advanced Micro Devices Inc, AMD Fab 36 LLC filed Critical AMD Fab 36 LLC and Co KG
Priority to DE102008044964.4A priority Critical patent/DE102008044964B4/de
Priority to US12/507,421 priority patent/US20100052175A1/en
Publication of DE102008044964A1 publication Critical patent/DE102008044964A1/de
Application granted granted Critical
Publication of DE102008044964B4 publication Critical patent/DE102008044964B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Durch Absenken von Metallleitungen und/oder dem dielektrischen Material einer Metallisierungsschicht modernster Halbleiterbauelemente wird die Zeitdauer bis zum dielektrischen Durchbruch auf Grund der Reduzierung elektrischer Felder und von Diffusionswegen auf der Oberseite der Metallleitungen erhöht.

Description

  • Gebiet der vorliegenden Offenbarung
  • Im Allgemeinen betrifft die vorliegende Offenbarung Mikrostrukturen, etwa moderne integrierte Schaltungen, und betrifft insbesondere die Herstellung leitender Strukturen, etwa auf kupferbasierenden Metallisierungsschichten.
  • Beschreibung des Stands der Technik
  • Bei der Herstellung moderner Mikrostrukturen, etwa integrierter Schaltungen, gibt es ein ständiges Bestreben, die Strukturgrößen von Mikrostrukturelementen ständig zu verringern, um damit die Funktion dieser Strukturen zu verbessern. Beispielsweise haben in modernen integrierten Schaltungen die minimalen Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, den Bereich deutlich unter 1 μm erreicht, wodurch das Leistungsverhalten dieser Schaltungen im Hinblick auf die Geschwindigkeit und/oder Leistungsaufnahme und/oder Funktionsvielfalt verbessert wird. Wenn die Größe der einzelnen Schaltungselemente mit der neuen Schaltungsgeneration verringert wird, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente erhöht wird, wird auch die verfügbare Fläche für Verbindungsleitungen, die die einzelnen Schaltungselemente elektrisch miteinander verbinden, ebenfalls verringert. Folglich werden die Abmessungen dieser Verbindungsleitungen ebenfalls reduziert, um der geringeren verfügbaren Fläche und der größeren Anzahl an Schaltungselementen, die pro Chipeinheitsfläche vorgesehen sind, einzutragen, da typischerweise die Anzahl der erforderlichen Verbindungen stärker ansteigt als die Anzahl der Schaltungselemente. Somit wird für gewöhnlich eine Vielzahl gestapelter „Verdrahtungsschichten”, die auch als Metallisierungsschichten bezeichnet werden, vorgesehen, wobei einzelne Metallleitungen einer Metallisierungsschicht mit den einzelnen Metallleitungen einer darüber liegenden oder darunter liegenden Metallisierungsschicht durch sogenannte Kontaktdurchführungen verbunden sind. Trotz des Vorsehens einer Vielzahl von Metallisierungsschichten sind geringere Abmessungen der Verbindungsleitungen erforderlich, um der enormen Komplexität von beispielsweise modernen CPU's, Speicherchips, ASIC's (anwendungsspezifische IC's) und dergleichen Rechnung zu tragen.
  • Moderne integrierte Schaltungen mit Transistorelementen mit einer kritischen Abmessung von 0,05 μm und kleiner werden daher typischerweise bei deutlich höheren Stromdichten bis zu mehreren kA pro cm2 in den einzelnen Verbindungsstrukturen trotz des Vorsehens einer relativ großen Anzahl an Metallisierungsschichten auf Grund der großen Anzahl an Schaltungselementen pro Einheitsfläche betrieben. Folglich werden gut etablierte Materialien, etwa Aluminium, durch Kupfer und Kupferlegierungen ersetzt, d. h. ein Material mit einem deutlich geringeren elektrischen Widerstand und mit einer verbesserten Widerstandsfähigkeit gegen Elektromigration selbst bei deutlich höheren Stromdichten im Vergleich zu Aluminium. Die Einfuhr von Kupfer in den Herstellungsprozess für Mikrostrukturen und integrierte Schaltungen geht jedoch mit einer Vielzahl ernsthafter Probleme einher, die in der Eigenschaft des Kupfers begründet liegen, gut in Siliziumdioxid und einer Vielzahl von dielektrischen Materialien mit kleinem ε zu diffundieren, die typischerweise in Verbindung mit Kupfer verwendet werden, um die parasitäre Kapazität innerhalb komplexer Metallisierungsschichten zu verringern. Um die notwendige Haftung sicherzustellen und um eine unerwünschte Diffusion von Kupferatomen in empfindliche Bauteilgebiete zu vermeiden, ist es daher für gewöhnlich notwendig, eine Barrierenschicht zwischen dem Kupfer und dem dielektrischen Material vorzusehen, in welchem die kupferbasierten Verbindungsstrukturen eingebettet sind. Obwohl Siliziumnitrid ein dielektrisches Material ist, das in effizienter Weise die Diffusion von Kupferatomen verhindert, ist die Verwendung von Siliziumnitrid als dielektrisches Zwischenschichtmaterial wenig wünschenswert, da Siliziumnitrid eine moderat hohe Permittivität aufweist, wodurch die parasitäre Kapazität zwischen benachbarten Kupferleitungen erhöht wird, was zu einer nicht-akzeptablen Signalausbreitungsverzögerung führt. Daher wird eine dünne leitende Barrierenschicht, die auch den Kupfer die erforderliche mechanische Stabilität verleiht, für gewöhnlich hergestellt, um damit den Großteil des Kupfers von dem umgebenden dielektrischen Material zu trennen, wodurch die Kupferdiffusion in die dielektrischen Materialien verringert wird und wodurch auch die Diffusion unerwünschter Atomsorten, etwa von Sauerstoff, Fluor und dergleichen in das Kupfer unterdrückt wird. Des weiteren schaffen die leitenden Barrierenschichten auch eine sehr stabile Grenzfläche mit dem Kupfer, wodurch die Wahrscheinlichkeit für einen ausgeprägten Materialtransport an der Grenzfläche verringert wird, die typischerweise ein kritisches Gebiet im Hinblick auf stärkere Diffusionspfade ist, die einen durch Strom hervorgerufenen Materialdiffusionsvorgang erleichtern. Aktuell sind Tantal, Titan, Wolfram und ihre Verbindungen mit Stickstoff und Silizium und dergleichen bevorzugte Kandidaten für eine leitende Barrieren schicht, wobei die Barrierenschicht zwei oder mehr Teilschichten mit unterschiedlicher Zusammensetzung aufweisen kann, um damit die Anforderungen im Hinblick auf die diffusionsunterdrückenden Eigenschaften und die Haftung zu erfüllen.
  • Eine weitere Eigenschaft des Kupfers, die es von Aluminium unterscheidet, ist die Tatsache, dass Kupfer nicht effizient in größeren Mengen durch chemische und physikalische Dampfabscheidetechniken aufgebracht werden kann, wodurch eine Prozessstrategie erforderlich ist, die üblicherweise als Damaszener- oder Einlegetechnik bezeichnet wird. In dem Damaszener-Prozess wird zunächst eine dielektrische Schicht hergestellt, die dann strukturiert wird, so dass diese Gräben und/oder Kontaktöffnungen aufweist, die nachfolgend mit Kupfer gefüllt werden, wobei, wie zuvor erläutert ist, vor dem Einfüllen des Kupfers eine leitende Barrierenschicht an Seitenwänden der Gräben und Kontaktdurchführungen gebildet wird. Das Abscheiden des Kupferfüllmaterials in die Gräben und Kontaktdurchführungen wird für gewöhnlich durch nasschemische Abscheideprozesse erreicht, etwa Elektroplattieren und stromloses Plattieren, wobei das zuverlässige Auffüllen von Kontaktöffnungen mit einem Aspektverhältnis von fünf oder höher bei einem Durchmesser von 0,3 μm oder weniger in Verbindung mit Gräben ist, die eine Breite im Bereich von 0,1 μm bis zu mehreren Mikrometern aufweisen. Elektrochemische Abscheideprozesse für Kupfer sind auf dem Gebiet der elektronischen Leiterplattenherstellung gut etabliert. Jedoch für die Abmessungen der Metallgebiete in den Halbleiterbauelementen ist das hohlraumfreie Auffüllen von Kontaktdurchführungen mit einem großen Aspektverhältnis eine äußerst komplexe und herausfordernde Aufgabe, wobei die Eigenschaften der schließlich erhaltenen kupferbasierten Verbindungsstruktur stark von Prozessparametern, Materialien und der Geometrie der interessierenden Struktur abhängen. Da die Geometrie der Verbindungsstrukturen im Wesentlichen durch die Entwurfserfordernisse festgelegt ist und daher nicht wesentlich bei einer gegebenen Mikrostruktur geändert werden kann, ist es von großer Bedeutung, den Einfluss von Materialien, etwa von leitenden und nicht-leitenden Barrierenschichten, der Kupfermikrostruktur und deren gegenseitige Wechselwirkung auf die Eigenschaften der Verbindungsstruktur zu bewerten und zu steuern, um damit sowohl eine hohe Ausbeute als auch die erforderliche Produktzuverlässigkeit sicherzustellen. Insbesondere ist es wichtig, die Beeinträchtigung und Ausfallmechanismen in Verbindungsstruktur für diverse Konfigurationen zu erkennen, zu überwachen und zu verringern, um damit die Bauteilzuverlässigkeit für jede neue Bauteilgeneration oder für jeden Technologiestandard beizubehalten.
  • Daher wird ein großer Aufwand beim Untersuchen der Beeinträchtigung von Kupferverbindungsstrukturen gemacht, insbesondere in Kombination mit dielektrischen Materialien mit kleinem ε, die eine relative Permittivität von 3,0 oder weniger besitzen, um neue Materialien und Prozessstrategien aufzufinden, so dass kupferbasierte Leitungen und Kontaktdurchführungen mit einer geringen Gesamtpermittivität hergestellt werden können.
  • Ein Ausfallmechanismus, von dem angenommen wird, dass er wesentlich zu einem vorzeitigen Bauteilausfall führt, ist der durch Elektromigration hervorgerufene Materialtransport insbesondere entlang einer Grenzfläche, die zwischen dem Kupfer und einer dielektrischen Deckschicht gebildet wird, die nach dem Einfüllen des Kupfermaterials in die Gräben und Kontaktdurchführungen gebildet wird, deren Seitenwände von dem leitenden Barrierenmaterialien beschichtet sind. Zusätzlich zur Beibehaltung der Kupferintegrität dient die dielektrische Deckschicht auch als eine Ätzstoppschicht während der Herstellung der Kontaktöffnungen und des Zwischenschichtdielektrikums. Häufig verwendete Materialien sind beispielsweise Siliziumnitrid und stickstoffenthaltendes Siliziumkarbid, die eine moderat hohe Ätzselektivität zu typischerweise verwendeten Zwischenschichtdielektrika aufweisen kann, etwa einer Vielzahl von dielektrischen Materialien mit kleinem ε, und die ebenfalls die Diffusion von Kupfer in das Zwischenschichtdielektrikum unterdrücken. Jüngste Forschungsergebnisse scheinen jedoch anzudeuten, dass die zwischen dem Kupfer und der dielektrischen Deckschicht gebildete Grenzfläche ein wesentlicher Diffusionspfad für den Materialtransport während des Betriebs der Metallverbindungsstruktur ist.
  • Folglich wurden eine Vielzahl von Alternativen in dem Versuch entwickelt, die Grenzflächeneigenschaften zwischen dem Kupfer und der Deckschicht zu verbessern, die die Eigenschaft besitzt, das Kupfer zuverlässig einzuschließen und dessen Integrität zu bewahren. Beispielsweise wurde vorgeschlagen, selektiv leitende Materialien auf der Oberseite des kupferenthaltenden Gebiets aufzubringen, wobei dieses Material bessere Elektromigrationseigenschaften aufweist und dem Gesamtwiderstand der entsprechenden Metallleitung nicht in unerwünschter Weise verringert. Beispielsweise haben sich diverse Legierungen, etwa eine Verbindung aus Kobalt/Wolfram/Phosphor (CoWP), eine Verbindung aus Nickel/Molybdän/Phosphor (NiMoP) und dergleichen als vielversprechende Kandidaten für leitende Deckschichten erwiesen, die die Elektromigrationseffekte innerhalb einer entsprechenden Metallleitung deutlich verringern. Obwohl diese Verbindung ein besseres Elektromigrationsverhalten aufweisen und in den Gesamtprozessablauf für die Herstellung kom plexer Metallisierungssysteme eingebaut werden können, da diese Verbindungen effizient auf der Grundlage selektiver elektrochemischer Abscheiderezepte aufgebracht werden können, zeigt sich jedoch, dass schwerwiegende Defekte in Metallisierungssystemen mit Kupferleitungen mit einer leitenden Deckschicht beobachtet werden. Beispielsweise treten erhöhte Leckströme und elektrischer Durchschlag in derartigen Bauelementen häufiger auf im Vergleich zu Bauelementen mit einem Metallisierungssystem auf der Grundlage einer dielektrischen Deckschicht.
  • Ferner kann während des Betriebs des Bauelements eine geringere Zeitdauer bis zum dielektrischen Durchschlagen aufwendiger Metallisierungssystemen beobachtet werden, wobei angenommen wird, dass eine wesentliche Quelle des vorzeitigen Verlustes der Isolierungsfestigkeit die Grenzfläche zwischen den dielektrischen Materialien zweier aufeinanderfolgender Metallisierungsschichten in dichtliegenden Metallleitungen, der mit Bezug zu 1 erläutert wird.
  • 1 zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 mit einem Substrat 101, in und über welchem Schaltungselemente hergestellt werden, etwa Transistoren und dergleichen, wie dies durch den gesamten Schaltungsaufbau des Halbleiterbauelements 100 erforderlich ist. Wie zuvor beschrieben ist, erfordert die kontinuierliche Verringerung der kritischen Strukturgrößen, die aktuell ungefähr 50 nm oder weniger beträgt, eine entsprechende Anpassung der Strukturgrößen von Metallleitungen und Kontaktdurchführungen in einem Metallisierungssystem 130 des Bauelements 100. In dem gezeigten Beispiel der 1 umfasst das Metallisierungssystem 130 in der gezeigten Fertigungsphase eine Metallisierungsschicht 110 in einem im Wesentlichen fertiggestellten Zustand und eine Metallisierungsschicht 120 vor dem Strukturieren des entsprechenden darin enthaltenen dielektrischen Materials. Die Metallisierungsschicht 110 umfasst ein dielektrisches Material 111, etwa ein dielektrisches Material mit kleinem ε und eine Vielzahl von Metallleitungen 112, die typischerweise ein gut leitendes Metall 112a, etwa Kupfer, in Verbindung mit einem leitenden Barrierenmaterial 112b, etwa Tantal, Tantalnitrid und dergleichen aufweisen. Im Hinblick auf den besseren Kupfereinfluss und das Elektromigrationsverhalten wird ferner häufig eine leitende Deckschicht 113 auf einer oberen Fläche 112s des Metallgebiets 112 hergestellt. Wie zuvor erläutert ist, werden eine Vielzahl von Legierungen verwendet, die einen moderat geringen Widerstand besitzen, während gleichzeitig eine starke Grenzfläche mit der Oberfläche 112s gebildet wird, was zu einem geringeren Grad an Strom induzierten Materialdiffusion führt, wie dies zuvor erläutert ist. Typischerweise besitzen die Metallleitungen 112 einen gewissen Grad an Verjüngung, so dass die kritische Abmessung 112w in der Nähe der oberen Fläche 112s größer ist im Vergleich zu der entsprechenden kritischen Breite 112w an der Unterseite der Metallleitungen 112. Folglich ist der Abstand zwischen benachbarten dichtliegenden Metallleitungen 112d an einer Grenzfläche 111s des dielektrischen Materials 111 mit einem nachfolgenden dielektrischen Material 122 am kleinsten, das als ein dielektrisches Material der nachfolgenden Metallisierungsschicht 120 betrachtet werden kann oder das als eine Deckschicht des dielektrischen Materials 111 betrachtet werden kann. In jedem Falle unterscheiden sich die dielektrischen Materialien 122, 111 typischerweise in ihrer Materialzusammensetzung, so dass Diffusionspfade für Kontaminationsstoffe, etwa Metallreste und dergleichen vorzugsweise an der Grenzfläche 111s auftreten. Ferner ist eine weitere dielektrische Materialschicht 121, etwa ein dielektrisches Material mit kleinem ε und dergleichen auf der dielektrischen Schicht 122 gebildet.
  • Typischerweise wird das in 1 gezeigte Halbleiterbauelement 100 auf der Grundlage der folgenden Prozesstechniken hergestellt. Nach der Ausbildung von Schaltungselementen in und über dem Substrat 101 auf der Grundlage gut etablierter Techniken gemäß spezifizierter Entwurfsregeln, die kritische Abmessungen von 50 nm und deutlich weniger für Schaltungselemente, etwa Transistoren, und dergleichen, fordern, wird eine geeignete Kontaktstruktur (nicht gezeigt) hergestellt, um damit die entsprechenden Schaltungselemente mit dem Metallisierungssystem 130 zu verbinden. Danach wird das Metallisierungssystem 130 hergestellt, wobei die Anzahl und die Ausbildung der einzelnen Metallisierungsschichten 110, 120 von der Komplexität und den Entwurfskriterien der Schaltung abhängt, die durch die Schaltungselemente in der Bauteilebene geschaffen wird, wie dies zuvor erläutert ist. Beispielsweise wird die Metallisierungsschicht 110 mit den Metallleitungen 112 durch Abscheiden des dielektrischen Materials 111 gebildet, da ein Material mit geringerer Permittivität repräsentiert, wobei geeignete Abscheidetechniken, etwa plasmaunterstützte CVD (chemische Dampfabscheidung), Aufschleuderverfahren und dergleichen Anwendung finden. Danach wird eine geeignete Ätzmaske auf der Grundlage von Lithographie hergestellt, wobei Hartmaskenmaterialien bei Bedarf eingesetzt werden, um die laterale Abmessung 111w und den Abstand 112b zwischen benachbarten Metallleitungen 112 zu definieren. Danach wird ein anisotroper Ätzprozess auf der Grundlage gut etablierter Rezepte ausgeführt, während welchem ein gewisser Grad an Verjüngung erzeugt wird, so dass typischerweise die Breite 112w und der Abstand 112d ausreichend groß gewählt werden kann, wie dies mit den gesamten Entwurfsregeln für eine gegebene hohe Dichte der Metallleitungen 112 kompatibel ist. Nach dem Ätzprozess und dem Entfernen der entsprechenden Ätzmaske wird das Barrierenmaterial 112b, beispielsweise durch Sputter-Abscheidung und dergleichen, hergestellt, woran sich das Einfüllen des Kupfermaterials anschließt, was typischerweise durch elektrochemische Abscheidetechniken erreicht wird. Anschließend wird überschüssiges Material des Kupferfüllmaterials und der leitenden Barrierenschicht 112b abgetragen, wobei für gewöhnlich CMP-(chemisch-mechanische Polier-)Techniken eingesetzt werden. Während einer abschließenden Phase eines entsprechenden Polierprozesses werden somit Kupfermaterial, Barrierenmaterial und Material der dielektrischen Schicht 111 der Einwirkung der Polierumgebung ausgesetzt, was typischerweise zu einem gewissen Grad an „Kupferkontamination” der Oberfläche 111s des dielektrischen Materials 111 führt. Obwohl sehr effiziente Reinigungsprozesse in einer späteren Fertigungsphase ausgeführt werden, kann dennoch die Anwesenheit selbst geringer Kupferreste zu einer deutlich geringeren dielektrischen Durchschlagsfestigkeit insbesondere an der Grenzfläche 111s führen, an der ebenfalls der Abstand zwischen benachbarten Metallleitungen 112 am kleinsten ist. Die Situation wird noch kritischer in Halbleiterbauelementen, in denen das metallenthaltende Deckmaterial 113 vorgesehen ist. Zu diesem Zweck wird typischerweise ein weiterer elektrochemischer Abscheideprozess, häufig ein stromloser Prozess ausgeführt, um in selektiver Weise das gewünschte leitende Deckmaterial 113 auf den Oberflächenbereichen 112s aufzubringen. Während dieses Prozesses werden freigelegte Oberflächenbereiche des dielektrischen Materials 111 mit Elektrolytlösungen mit Metallatomen in Kontakt gebracht, die ebenfalls zu einem gewissen Grade in das dielektrische Material diffundieren können. Somit werden nach dem stromlosen Abscheideprozess Reinigungsprozesse ausgeführt, um Kontaminationsstoffe zu entfernen, wobei jedoch weiterhin geringe Metallreste von dem vorhergehenden chemisch-mechanischen Polierprozess des Kupfermaterials und den nachfolgenden stromlosen Abscheideprozess des leitenden Deckmaterials 113 vorhanden sein können. Anschließend wird das dielektrische Material 122 abgeschieden, beispielsweise durch plasmaunterstützte CVD-Techniken, wobei das Material 122 als ein Ätzstoppmaterial während des Strukturierens des dielektrischen Materials 121 der Metallisierungsschicht 120 dienen kann. Beispielsweise werden Siliziumkarbid, stickstoffenthaltendes Siliziumkarbid und dergleichen häufig als geeignete Ätzstoppmaterialien verwendet. Auf Grund einer speziellen Fehlanpassung in der Materialzusammensetzung und der Molekularstruktur zwischen den Materialien 122 und dem dielektrischen Material 111 repräsentiert die Grenzfläche 111s einen Diffusionsweg für Metallreste, was zu einer noch weiter redu zierten dielektrischen Festigkeit beim Betreiben des Bauelements 100 führen kann, wobei typischerweise wiederholt moderat hohe Temperaturen innerhalb des Metallisierungssystems 130 erzeugt werden können.
  • Das dielektrische Material 121 wird dann abgeschieden und nachfolgend strukturiert unter Anwendung der Schicht 122 als ein Ätzstoppmaterial, wobei nachfolgend Kontaktdurchführungen und Metallleitungen in der Metallisierungsschicht 120 gebildet werden.
  • Somit führt die unmittelbare Nähe der Metallleitungen 112 insbesondere an der Grenzfläche 112 zu erhöhten elektrischen Feldern beim Betreiben des Bauelements 100, die auf Grund der wenig stabilen Grenzfläche 111s und des Vorhandenseins selbst geringer Metallreste, beispielsweise in Form von Kupfer oder Material der leitenden Deckschicht 113, noch kritischer werden können. Daher wird ein vorzeitiger Ausfall, d. h. ein dielektrischer Durchschlag in Metallisierungsebenen kritischer Halbleiterbauelemente beobachtet.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Offenbarung Techniken und Halbleiterbauelemente, in denen die dielektrische Festigkeit von Metallisierungssystemen erhöht wird, um eines oder mehrere der oben erkannten Probleme zu verringern oder zu vermeiden.
  • Überblick über die Offenbarung
  • Im Allgemeinen betrifft der hierin offenbarte Gegenstand Techniken und Halbleiterbauelemente, um die dielektrischen Eigenschaften zu verbessern, d. h. das Verhalten in Bezug auf eine Reaktion dielektrischer Materialien auf angelegte Spannungen und in Bezug auf die Verringerung parasitärer Leckströme in dem dielektrischen Material von Metallisierungssystemen, indem ein Metallgebiet und/oder das dielektrische Material ausgespart bzw. abgesenkt werden, um damit bessere Grenzflächeneigenschaften des dielektrischen Materials zwischen dichtliegenden Metallleitungen zu verbessern. Beispielsweise sorgt das Absenken des Metallgebiets vor dem eigentlichen Aufbringen einer Deckschicht, etwa einer leitenden Deckschicht oder einer dielektrischen Deckschicht, für einen verbesserten Oberflächenzustand beim nachfolgenden Abscheideprozess und kann auch Kontaminationsstoffe von freigelegten Oberflächenbereichen des dielektrischen Materials entfernen, wodurch die gesamte Zuverlässigkeit des dielektrischen Materials verbessert wird. In anderen Fällen wird zusätzlich oder alternativ auch das dielektrische Material abgesenkt, beispielsweise nach dem Herstellen einer leitenden Deckschicht, wodurch effizient Metallreste entfernt werden, wodurch ebenfalls zu besseren dielektrischen Eigenschaften auf der Oberseite der entsprechenden Metallisierungsschicht beigetragen wird. Somit kann die Zeitdauer bis zum dielektrischen Durchbruch für vorgegebene Entwurfsregeln eines betrachteten Metallisierungssystems im Vergleich zu konventionellen Strategien erhöht werden.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Entfernen von Material eines kupferenthaltenden Metallgebiets, das in einem dielektrischen Material mit kleinem ε einer Metallisierungsschicht eines Halbleiterbauelements ausgebildet ist, indem ein selektiver Ätzprozess angewendet wird, um eine Vertiefung bzw. Aussparung zu schaffen. Des weiteren umfasst das Verfahren das Bilden eines Deckmaterials zumindest in der Vertiefung des Metallgebiets.
  • Ein noch weiteres anschauliches hierin offenbartes Verfahren umfasst das Entfernen eines Teils eines dielektrischen Materials selektiv zu Metallgebieten, die in dem dielektrischen Material hergestellt sind, um Vertiefungen bzw. Aussparungen in dem dielektrischen Material in Bezug auf die Metallgebiete zu schaffen, wobei das dielektrische Material und die Metallgebiete einen Teil einer Metallisierungsschicht für Halbleiteerbauelemente repräsentieren. Des weiteren umfasst das Verfahren das Bilden eines Deckmaterials zumindest auf den Metallgebieten.
  • Ein anschauliches hierin offenbartes Halbleiterbauelement umfasst ein erstes dielektrisches Material, das über einem Substrat ausgebildet ist, und kupferenthaltende Metallgebiete, die in dem ersten dielektrischen Material hergestellt sind, wobei die kupferenthaltenden Metallgebiet Seitenwandbereiche und eine obere Fläche besitzen. Die obere Fläche ist in Bezug auf eine obere Fläche des ersten dielektrischen Materials abgesenkt. Ferner ist ein zweites dielektrisches Material auf dem ersten dielektrischen Material und über der oberen Fläche gebildet. Schließlich ist eine leitende Deckschicht auf der oberen Fläche der kupferenthaltenden Metallgebiete ausgebildet.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen des hierin offenbarten Gegenstandes sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1 schematisch eine Querschnittsansicht eines konventionellen Halbleiterbauelements zeigt, wenn ein aufwendiges Metallisierungssystems hergestellt wird;
  • 2a bis 2e schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung einer Metallisierungsschicht zeigen, wobei die Metallleitungen gemäß anschaulicher Ausführungsformen abgesenkt werden;
  • 2f schematisch das Halbleiterbauelement gemäß weiterer anschaulicher Ausführungsformen zeigt, in denen Seitenwandabstandshalter innerhalb von Vertiefungen der Metallgebiete hergestellt werden;
  • 2g schematisch das Halbleiterbauelement gemäß einer noch weiteren anschaulichen Ausführungsform zeigt, in der nach dem Bilden eines leitenden Deckmaterials auf den zuvor abgesenkten Metallgebiet Material der dielektrischen Schicht entfernt wird; und
  • 2h und 2i schematisch Querschnittsansichten des Halbleiterbauelements während diverser Fertigungsphasen zeigen, in denen eine Deckschicht auf den Metallleitungen mit einem nachfolgenden Absenken des dielektrischen Materials gemäß noch weiterer anschaulicher Ausführungsformen gebildet wird.
  • Detaillierte Beschreibung
  • Obwohl der hierin offenbarte Gegenstand mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der nachfolgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Offenbarung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Offenbarung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen betrifft die vorliegende Offenbarung Techniken und Halbleiterbauelemente, in denen die dielektrische Festigkeit dielektrischer Materialien, etwa dielektrische Materialien mit kleinem ε, die als dielektrische Materialien mit einer Dielektrizitätskonstante von 3,0 oder weniger zu verstehen sind, im Hinblick auf die elektrochemische Abscheidung eines Metalls, etwa von Kupfer, einem leitenden Deckmaterial und dergleichen und im Hinblick auf die entsprechende damit verknüpfte Fertigungssequenz verbessert wird, indem Bedingungen geschaffen werden, um das elektrische Feld insbesondere an der Oberseite der entsprechenden Metallleitungen zu verringern und/oder indem die Wahrscheinlichkeit einer Metalldiffusion an der Grenzfläche zwischen zwei benachbarten dielektrischen Materialien reduziert wird. Zu diesem Zweck wird das Metall der Metallleitung abgesenkt und/oder das dielektrische Material wird abgesenkt, um in effizienter Weise die Wahrscheinlichkeit der Metalldiffusion zu verringern und Prozessbedingungen während der weiteren Bearbeitung zu verbessern. In einigen anschaulichen Ausführungsformen werden beide Mechanismen kombiniert, um damit einen besseren Einfluss eines leitenden Deckmaterials zu erzeugen, das innerhalb einer Vertiefung oder Aussparung vorhergestellter Metallleitungen gebildet wird, wobei eine nachfolgende Absenkung des umgebenden dielektrischen Materials effizient zusätzliche Metallkontaminationsstoffe entfernen kann. In noch anderen Fällen wird das Absenken des Metallgebiets kombiniert mit der Herstellung von diffusionshindernden Seitenwandabstandshaltern, die zu einer höheren dielektrischen Festigkeit und einen besseren Metalleinschluss beitragen können. Folglich wird für vorgegebene Entwurfsregeln und eine gegebene Konfiguration des Metallisierungssystems eines aufwendigen Halbleiterbauelements eine erhöhte Zuverlässigkeit, beispielsweise im Hinblick auf die Zeitdauer bis zum dielektrischen Durchschlag erreicht, ohne dass unnötig zur gesamten Prozesskomplexität beigetragen wird. In einigen anschaulichen Ausführungsformen wird sogar eine bessere Oberflächentopographie geschaffen, indem die Metallgebiete vor dem Aufbringen des leitenden Deckmaterials geeignet abgesenkt wird, während in anderen Fällen das dielektrische Material nach dem Bilden eines leitenden Deckmaterials ersetzt wird, wodurch für ein höheres Maß an Metallkontamination in einer insgesamt besseren Oberflächentopographie erreicht wird.
  • Mit Bezug zu den 2a bis 2i werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, wobei auch bei Bedarf auch auf 1 verwiesen wird.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200, das ein Substrat 201 und mindestens eine Metallisierungsschicht 210 aufweist, die über dem Substrat 201 ausgebildet ist. Das Substrat 201 repräsentiert ein beliebiges geeignetes Trägermaterial, um darüber die Metallisierungsschicht 210 herzustellen. Beispielsweise kann das Substrat 201 auch eine Bauteilebene aufweisen, in und über welcher Schaltungselemente, etwa Transistoren, Kondensatoren, Widerstände und dergleichen vorgesehen sind, deren elektrische Verbindung zumindest teilweise durch die ein oder mehreren Metallisierungsschichten 210 hergestellt wird. In Bezug auf andere Eigenschaften des Substrats 201 sei auch auf den Halbleiterbaustein 100 verwiesen, der mit Bezug zu 1 beschrieben ist. Die Metallisierungsschicht 210 repräsentiert eine beliebige Ebene eines mehr oder minder komplexen Metallisierungssystems, wobei in einigen anschaulichen Ausführungsformen die Metallisierungsschicht 210 eine Verdrahtungsebene eines modernen Halbleiterbauelements repräsentiert, in welchem ein dielektrisches Material 211 der Schicht 210 zumindest teilweise in Form eines dielektrischen Materials mit kleinem ε vorgesehen ist. D. h., das dielektrische Material 211 weist Material mit einer Dielektrizitätskonstante von 3,0 oder weniger auf, etwa 2,5 oder weniger, wenn sogenannte dielektrische Materialien mit sehr kleinem ε verwendet werden. Es sollte beachtet werden, dass das dielektrische Material 211 auch andere Dielektrika aufweisen kann, etwa Siliziumdioxid, Siliziumnitrid, Siliziumkarbid, stickstoffangereichertes Siliziumkarbid und dergleichen, um die gewünschten Gesamteigenschaften zu erreichen. Die Metallisierungsschicht 210 umfasst mehrere Metallleitungen 212, möglicherweise in Verbindung mit Kontaktdurchführungen (nicht gezeigt), die eine Verbindung zur einer tieferliegenden Metallisierungsschicht (nicht gezeigt) herstellen. In anderen Fällen sind die Metallleitungen 212 mit einer Kontaktstruktur (nicht gezeigt) verbunden, die eine vertikale Kontaktstruktur repräsentiert, um eine Verbindung zu Kontaktbereichen von Halbleiterbauelementen, etwa Drain- und Sourcegebieten von Feldeffekttransistoren, Gateelektrodenstrukturen und dergleichen herzustellen. Die Metallleitungen 212 besitzen eine laterale Größe und eine Tiefe entsprechend den gesamten Entwurfsregeln für die betrachtete Metallisierungsschicht 210. Beispielsweise ist ein minimaler Entwurfsabstand 212d zwischen zwei benachbarten Metallleitungen 212 an der Oberseite der Metallleitungen 212 100 nm oder weniger, etwa ungefähr 60 nm und weniger, wenn modernste Halbleiterbauelemente betrachtet werden. In ähnlicher Weise kann eine Breite 212, die an der Oberseite der Leitungen 212 im Bereich von 1 μm bis zu 100 μm oder weniger liegen, wobei dies von der betrachteten Metallisierungsebene und den gesamten Entwurfsregeln des Bauelements 200 abhängt. Andererseits beträgt eine Tiefe der Metallleitungen 212 mehrere 100 nm bis einige Mikrometer, wobei dies von der betrachteten Metallisierungsebene abhängt. Die Metallleitungen 212 enthalten ein leitendes Barrierenmaterial 212b und ein gut leitendes Metall 212a, etwa Kupfer, Kupferlegierungen, Silber und dergleichen.
  • Das in 2a gezeigte Halbleiterbauelement 200 kann auf der Grundlage ähnlicher Prozesstechniken hergestellt werden, wie sie auch mit Bezug zu dem Halbleiterbauelement 100 unter Verweis auf die Herstellung von Metallleitungen 112 angegeben sind. Somit wird nach dem Abscheiden des Barrierenmaterials 212b und dem Einfüllen des Metalls 121a überschüssiges Material entfernt, wie dies auch zuvor erläutert ist. Jedoch im Gegensatz zu konventionellen Vorgehensweisen wird das Halbleiterbauelement 200 einer Ätzumgebung 202 ausgesetzt, um einen Teil zumindest des Metalls 212a selektiv in Bezug auf das dielektrische Material 211 zu entfernen. Zu diesem Zweck wird die Ätzumgebung auf der Grundlage geeigneter nasschemischer Rezepte oder plasmaunterstützter Chemien eingerichtet. Beispielsweise wird Kupfermaterial durch eine Vielzahl nasschemischer Ätztechniken selektiv in Bezug auf eine Vielzahl von dielektrischen Materialien geätzt, etwa mittels Chemien auf Chlorbasis und dergleichen, wofür eine Vielzahl gut etablierter Ätzrezepte verfügbar sind, aus der Technologie der Leiterplatten. In anderen Fällen werden Oberflächenbereiche des Materials 212 oxidiert und nachfolgend werden die oxidierten Bereiche durch nasschemische oder plasmaunterstützte Ätztechniken entfernt. In einigen anschaulichen Ausführungsformen wird eine CMP-Stoppschicht (nicht gezeigt) auf der Oberseite des dielektrischen Materials 211 nach dem Abscheiden des Materials 211 und vor dessen Strukturierung aufgebracht, wobei zumindest ein Teil der entsprechenden Stoppschicht beibehalten wird, um damit eine bessere Integrität während des Ätzprozesses 202 zu schaffen, wenn ein direkter Kontakt eines empfindlichen dielektrischen Materials, etwa eines ULK-Materials und dergleichen, mit der Ätzumgebung 202 als ungeeignet erachtet wird. Der Materialabtrag während des Prozesses 202 kann effizienter werden, indem eine Abtragsrate für eine gegebene Ätzchemie eine bekannte Zusammensetzung des Metalls 212a bestimmt wird.
  • 2b zeigt schematisch das Halbleiterbauelement 200 nach dem Ende des Ätzprozesses 202 aus 2a. Wie gezeigt, sind Vertiefungen bzw. Aussparungen 212r in den Metalllei tungen 212 gebildet, wobei eine Tiefe der Aussparungen 212r, die als 212e angegeben ist, auf der Grundlage der Ätzparameter eingestellt wird, wie zuvor beschrieben ist. Beispielsweise wird die Tiefe 212e auf ungefähr 20 nm bis 50 nm oder mehr festgelegt, wobei dies von einer gewünschten Dicke eines weiteren Deckmaterials, das noch zu bilden ist, und von der gewünschten Oberflächentopographie abhängt, die sich aus der nachfolgenden Abscheidung des Deckmaterials ergibt. Beispielsweise werden in einigen anschaulichen Ausführungsformen die Vertiefungen oder Aussparungen 212r mit einer geeigneten Tiefe gebildet, die im Wesentlichen eine gewünschte Dicke eines leitenden Deckmaterials entspricht, das in einer nachfolgenden Fertigungsphase zu bilden ist. In einigen anschaulichen Ausführungsformen wird die weitere Bearbeitung fortgesetzt, indem ein geeignetes dielektrisches Deckmaterial, etwa stickstoffenthaltendes Siliziumkarbid und dergleichen, aufgebracht wird, wenn ein leitendes Deckmaterial als ungeeignet erachtet wird. In diesem Falle wird das dielektrische Deckmaterial so abgeschieden, dass es die Vertiefungen 212r füllt, wobei nachfolgender Einebnungsprozess eingeführt werden kann, um eine Dicke des dielektrischen Barrierenmaterials außerhalb der Metallleitungen 212 zu verringern, wobei dennoch eine ausreichende Dicke für ein zuverlässiges Einschließen des Materials 212a und für das Erreichen der gewünschten Ätzstoppeigenschaften während der Strukturierungssequenz zur Herstellung von Kontaktdurchführungen einer nachfolgenden Metallisierungsschicht gewährleistet sind. In anderen Ausführungsformen wird ein entsprechender Einebnungsprozess, beispielsweise ein CMP-Prozess, so lange fortgesetzt, bis das entsprechende dielektrische Barrierenmaterial im Wesentlichen vollständig von dem dielektrischen Material 211 abgetragen ist, während in noch anderen Fällen ein Teil des Materials 211 entfernt wird, um in effizienter Weise Kontaminationsstoffe, die darin enthalten sind, etwa Kupfer und dergleichen, abzutragen, wodurch eine weitere verbesserte dielektrische Integrität des Materials 211 an der Oberseite der Metallleitungen 212 erreicht wird. Während des entsprechenden Abtragungsprozesses wird das Metall 212a zuverlässig von dem dielektrischen Deckmaterial, das zuvor in den Vertiefungen 212r gebildet wurde, geschützt. somit können selbst in anspruchsvollsten Anwendungen, in denen ein dielektrisches Deckmaterial als geeignet erachtet wird, bessere dielektrische Gesamteigenschaften der Metallisierungsschicht 210 erreicht werden.
  • 2c zeigt schematisch das Halbleiterbauelement 200 während eines elektrochemischen Abscheideprozesses 203, etwa ein stromloser Plattierungsprozess auf der Grundlage gut etablierter Abscheiderezepte. Während des Prozesses 203 wird ein leitendes Deckmaterial 213, etwa eine geeignete Legierung, wie sie zuvor erläutert ist, innerhalb der Vertiefungen 212r aus 2b abgeschieden, wodurch ein besserer Einschluss des Materials 213 erreicht wird. Es sollte beachtet werden, dass in einigen Fällen (nicht gezeigt), das Material 213 so abgeschieden wird, um der Aussparungen mit Überschuss aufzufüllen, während in anderen Fallen eine im Wesentlichen ebene Oberflächentopographie nach dem Abscheideprozess 203 erhalten wird. Wie zuvor mit Bezug zu 2b erläutert ist, kann in anderen Fällen der Abscheideprozess 203 das Abscheiden eines dielektrischen Barrierenmaterials in Verbindung mit einem geeigneten Einebnungsprozess repräsentieren, wodurch ebenfalls eine im Wesentliche ebene Oberflächentopographie geschaffen wird, wobei das entsprechende dielektrische Deckmaterial das dielektrische Material 211 ggf. abdecken kann, wie dies zuvor erläutert ist.
  • 2d zeigt schematisch das Halbleiterbauelement 200 während eines Reinigungsprozesses 204, der auf der Grundlage des Aufbringens geeigneter nasschemischer Mittel beruht, etwa reaktiver Komponenten, ionisiertes Wasser und dergleichen, möglicherweise in Verbindung mit einer mechanischen Komponente, die durch entsprechende Bürsten oder andere Komponenten erreicht wird, die in mechanischen Kontakt mit der freigelegten Oberfläche des Bauelements 200 während des Prozesses 204 kommen. Auf Grund der besseren Oberflächentopographie nach dem Vorsehen des leitenden Deckmaterials 213 wird eine bessere Effizienz insbesondere der mechanischen Komponente des Reinigungsprozesses 204 erreicht, wodurch Kontaminationsstoffe in dem dielektrischen Material 211 zwischen den Metallleitungen 212 effizienter abgetragen werden. Selbst wenn das leitende Deckmaterial 213 so abgeschieden wurde, dass die entsprechenden Vertiefungen überfüllt werden, wird eine deutlich weniger ausgeprägte Oberflächentopographie für eine gegebene gewünschte Dicke des Materials 213 im Vergleich zu konventionellen Vorgehensweisen erreicht, in denen ein leitendes Deckmaterial auf den Metallleitungen aufgebracht wird, ohne diese abzusenken.
  • 2e zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist eine weitere Metallisierungsschicht 220 auf der Metallisierungsschicht 210 vorgesehen und ist in einer frühen Phase dargestellt. D. h., ein dielektrisches Material 222, etwa ein Ätzstoppmaterial, eine „Übergangsschicht” in Bezug auf ein ULK-Material und dergleichen, ist auf der Metallisierungsschicht 210 ausgebildet, wodurch eine Grenzfläche 211s mit dem dielektrischen Material 211 und mit der leitenden Deck schicht 213 gebildet wird. Ferner ist ein dielektrisches Material 211, etwa ein Material mit kleinem ε, ein ULK-Material und dergleichen auf dem dielektrischen Material 222 gebildet. Auf Grund der vorhergehenden Fertigungssequenz, die die Herstellung der Vertiefungen 212 (siehe 2b) mit einschließt, wird somit eine bessere dielektrische Festigkeit an der Grenzfläche 211s auf Grund einer geringeren Metalldiffusion oder Kontamination in den vorhergehenden Fertigungsphasen erreicht. Ferner wird ein besserer lateraler Einschluss der leitenden Deckschicht 213 erreicht, was ebenfalls zu einem gut definierten Abstand 211d zwischen dichtliegenden Metallleitungen 212 beiträgt, wodurch ebenfalls das Auftreten elektrischer Felder während des Betriebs des Halbleiterbauelements 200 reduziert wird. In anderen Fällen werden, wenn ein dielektrisches Deckmaterial auf den Metallleitungen 212 gebildet ist, ebenfalls bessere Bedingungen im Hinblick auf eine Metallkontamination an der Grenzfläche 211s erreicht, wie dies auch zuvor erläutert ist.
  • 2f zeigt schematisch das Halbleiterbauelement 200 gemäß weiterer anschaulicher Ausführungsformen, in denen die Vertiefungen 212r hergestellt sind, wie zuvor beschrieben ist, wobei jedoch das leitende Barrierenmaterial 212b innerhalb der Vertiefungen 212r entfernt ist oder wobei das Barrierenmaterial in den Vertiefungen 212r als ungeeignet in Bezug auf die Diffusionsblockiereigenschaften für Kupfermaterial auf Grund der vorhergehenden Einwirkung einer reaktiven Umgebung zur Herstellung der Vertiefungen 212r erachtet wird. In der gezeigten Ausführungsform werden Seitenwandabstandshalter 214 an Seitenwänden der Vertiefungen 212r gebildet, d. h. die Abstandshalter 214 sind mit dem dielektrischen Material 211 in Kontakt, wenn das leitende Barrierenmaterial 212b im Wesentlichen vollständig während der vorhergehenden Fertigungsschritte entfernt wurde oder die Abstandshalter 214 sind auf Resten des Materials 212b ausgebildet. In der gezeigten Ausführungsform ist das leitende Barrierenmaterial 212b vollständig innerhalb der Vertiefungen 212r entfernt, und die Abstandshalter 214 in Form eines dielektrischen Materials, etwa Siliziumdioxid, Siliziumnitrid, stickstoffenthaltendem Siliziumkarbid und dergleichen können die effektive Breite des Metallgebiets 212 verringern und können bessere Diffusionseigenschaften bieten. Die Seitenwandabstandshalter 214 können durch Abscheiden eines geeigneten Materials, etwa eines der zuvor genannten Materialien, hergestellt werden, was auf Grundlage gut etablierter Abscheidetechniken gelingt, etwa plasmaunterstützter CVD und dergleichen, woran sich ein anisotroper Ätzprozess anschließt, wobei eine ausgeprägte Ätzselektivität zwischen dem Material der Abstandshalter 214 und dem dielektrischen Material ggf. nicht notwendig ist. In anderen Fällen wird ein Material ausgewählt, das mit einem hohen Maß an Selektivität in Bezug auf das Material 211 entfernbar ist. In noch anderen Fällen wird eine dünne Ätzstoppschicht (nicht gezeigt) beispielsweise durch Abscheidung, Oberflächenbehandlung und dergleichen gebildet, woran sich ein geeignetes Abstandshaltermaterial anschließt, das dann selektiv in Bezug auf die Ätzstoppschicht geätzt wird. Im Anschluss daran wird bei Bedarf die Ätzstoppschicht auf der Grundlage eines entsprechenden nasschemischen oder plasmaunterstützten Ätzprozesses abgetragen. Daraufhin wird die weitere Bearbeitung fortgesetzt, wie dies beispielsweise mit Bezug zu 2d beschrieben ist, d. h. es wird ein leitendes Deckmaterial auf der Grundlage einer elektrochemischen Abscheidetechnik aufgebracht.
  • 2h zeigt schematisch das Halbleiterbauelement 200 gemäß weiterer anschaulicher Ausführungsformen, wobei ein weiterer Ätzprozess 205 ausgeführt wird, um selektiv Material der dielektrischen Schicht 311 nach dem Bilden des leitenden Deckmaterials 213 zu entfernen. In diesem Falle werden restliche Kontaminationsstoffe, die in einer Oberflächenschicht 211l des dielektrischen Materials 211 enthalten sind, effizient während des Prozesses 205 abgetragen, während das Deckmaterial 213 die gewünschte Integrität des Material 212a sicherstellt. Somit kann das dielektrische Material 211 zu einem gewissen Grade abgetragen werden, um in der Schicht 211l enthaltende Kontaminationsstoffe weiter zu entfernen, wobei jedoch die resultierende Oberflächentopographie vergleichbar ist zu der Oberflächentopographie eines Halbleiterbauelements, das gemäß konventioneller Strategien hergestellt wird, wie dies auch mit Bezug zu 1 erläutert ist, da auf Grund der vorhergehenden Vertiefung der Metallleitungen 212 eine deutlich bessere planare Oberflächentopographie vor dem Ätzprozess 205 erhalten wird. Folglich werden bessere Bedingungen während der Herstellung des leitenden Deckmaterials 213 geschaffen, indem die Metallleitungen 212 in der zuvor beschriebenen Weise abgesenkt werden, wobei zusätzliche bessere Bedingungen im Hinblick auf die Metallkontamination erreicht werden, indem die Oberflächenschicht 211l entfernt wird. Danach kann die weitere Bearbeitung fortgesetzt werden, wie dies auch mit Bezug zu dem Bauelement 100 beschrieben ist.
  • 2h zeigt schematisch das Halbleiterbauelement 200 gemäß noch weiterer anschaulicher Ausführungsformen. Wie gezeigt, ist die leitende Deckschicht 213 auf den Metallleitungen 212 gebildet, was auf Grundlage von Prozesstechniken bewerkstelligt werden kann, wie sie auch mit Bezug zu dem Bauelement 100 beschrieben sind. D. h., es wird ein leitendes Deckmaterial 213 so gebildet, dass diese sich über die Oberflächenschicht 211l des dielektrischen Materials 211 erstreckt, da die Metallleitungen 212 vor dem Abscheiden des Materials 213 nicht abgesenkt sind. Danach wird das Bauelement 200 der Einwirkung der Ätzumgebung 205 ausgesetzt, um die Oberflächenschicht 211l in einer gewünschten Dicke zu entfernen, wodurch das Material 211 abgesenkt wird. Es sollte beachtet werden, dass in diesem Falle der Ätzprozess 205 zusätzlich oder alternativ zu einem entsprechenden Reinigungsprozess, etwa dem Prozess 204 (siehe 2d) ausgeführt werden kann, da durch Abtragen er Oberflächenschicht 211l auch entsprechende Kontaminationsstoffe effizient während des Prozesses 205 entfernt werden. In diesem Falle trägt der Ätzprozess 205 nicht negativ zur gesamten Durchlaufzeit im Vergleich zu konventionellen Strategien bei.
  • 2i zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der ein weiteres dielektrisches Material 215 über dem dielektrischen Material 211 und den Metallleitungen 211 gebildet ist. In einer anschaulichen Ausführungsform besitzt das Material 215 eine Zusammensetzung, die vergleichbar oder identisch zu dem Material 211 ist, das ein Material mit kleinem ε repräsentiert, wodurch ein Material mit kleinem ε ebenfalls unmittelbar benachbart zu jeder der Metallleitungen 212 und dem leitenden Deckmaterial 213 angeordnet wird. In diesem Falle wird ein Einebnungsprozess ausgeführt, beispielsweise ein CMP-Prozess, wobei geeignet ausgewählte Parameter, etwa Andruckkraft und dergleichen bereitgestellt werden, wodurch überschüssiges Material der Schicht 215 abgetragen wird, wodurch auch die Oberflächentopographie eingeebnet wird, und wobei das leitende Deckmaterial 213 als ein CMP-Stoppmaterial oder als eine Steuerschicht dient, und wobei jedoch eine unerwünschte Metallkontamination der Schicht 215 auf Grund der geeignet ausgewählten CMP-Parameter vermieden wird. D. h., auf Grund der mechanischen Eigenschaften des Materials 215 wird eine moderat hohe Selektivität zwischen der Schicht 215 und dem leitenden Deckmaterial 213 während des CMP-Prozesses erreicht, wodurch ein moderat geringer Kontaminationspegel beibehalten wird, der durch einen nachfolgenden Reinigungsprozess noch weiter verringert werden kann. In noch anderen anschaulichen Ausführungsformen repräsentiert das weitere dielektrische Material 215 eine geeignete Ätzstoppschicht oder ein Übergangsmaterial, um darauf eine nachfolgende Metallisierungsschicht zu bilden. In diesem Falle kann ein Einebnungsprozess weggelassen werden, wenn die Schichtdicke nach dem Abscheiden als geeignet erachtet wird. In noch anderen anschaulichen Ausführungsformen wird die Materialschicht 215 eingeebnet, um eine im Wesentlichen ebene Oberflächentopographie für die nachfolgende Abscheidung eines weiteren dielektrischen Materials zu schaffen, wobei in einigen anschaulichen Aus führungsformen die leitende Deckschicht 213 nicht freigelegt wird, um unerwünschte Metallkontamination der eingeebneten Schicht 215 zu vermeiden.
  • Folglich wird auch in den mit Bezug zu den 2h und 2i beschriebenen Ausführungsformen eine Absenkung des dielektrischen Materials 211 für eine verbesserte dielektrische Festigkeit der Schicht 211 auf Grund des sehr effizienten Abtragens von Metallkontaminationsstoffen beitragen.
  • Es gilt also: Die vorliegende Offenbarung stellt Techniken und Halbleiterbauelemente bereit, in denen aufwendige Metallisierungssysteme, die auf der Grundlage von Dielektrika mit kleinem ε und Kupfer hergestellt werden, eine bessere dielektrische Festigkeit in Bezug auf Zeitdauer bis zu einem dielektrischen Durchschlag besitzen. Dies kann erreicht werden, indem die Metallleitungen und/oder das umgebende dielektrische Material abgesenkt wird, um damit bessere Bedingungen während des nachfolgenden Herstellens eines dielektrischen oder leitenden Deckmaterials zu schaffen. In einigen anschaulichen Ausführungsformen führt das Absenken der Metallleitungen zu einem besseren lateralen Einschluss eines leitenden Deckmaterials, wobei auch eine bessere Effizienz eines nachfolgenden Reinigungsprozesses erreicht wird, wodurch wesentlich zu besseren dielektrischen Eigenschaften der resultierenden Metallisierungsschicht beigetragen wird.
  • Weitere Modifizierungen und Variationen der vorliegenden Offenbarung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der hierin offenbarten Prinzipien zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (21)

  1. Verfahren mit: Entfernen von Material eines kupferenthaltenden Metallgebiets, das in einer Öffnung in einem dielektrischen Material mit kleinem ε einer Metallisierungsschicht eines Halbleiterbauelements gebildet ist, durch Ausführen eines selektiven Ätzprozesses, um eine Vertiefung in dem Metallgebiet zu bilden; und Bilden eines Deckmaterials zumindest in der Vertiefung des Metallgebiets.
  2. Verfahren nach Anspruch 1, wobei Bilden des Deckmaterials umfasst: Bilden einer leitenden Deckschicht auf dem Metallgebiet durch Ausführen eines elektrochemischen Abscheideprozesses.
  3. Verfahren nach Anspruch 1, wobei Bilden des Deckmaterials umfasst: Bilden eines dielektrischen Materials über dem Metallgebiet, um das kupferenthaltende Metall einzuschließen.
  4. Verfahren nach Anspruch 2, das ferner umfasst: Ausführen eines Reinigungsprozesses nach dem elektrochemischen Abscheideprozess.
  5. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines Barrierenmaterials an Seitenwänden der Vertiefung.
  6. Verfahren nach Anspruch 1, wobei die Vertiefung eine Tiefe von ungefähr 20 nm bis 50 nm aufweist.
  7. Verfahren nach Anspruch 1, das ferner umfasst: Entfernen von Material des dielektrischen Materials selektiv zu dem Metallgebiet nach dem Bilden des Deckmaterials, um zweite Vertiefungen in dem dielektrischen Material in Bezug auf das Metallgebiet herzustellen.
  8. Verfahren nach Anspruch 7, wobei das Deckmaterial in Form eines leitenden Materials vorgesehen wird.
  9. Verfahren nach Anspruch 7, das ferner umfasst: Bilden eines dielektrischen Materials über dem dielektrischen Material mit kleinem ε und dem Metallgebiet und Einebnen des dielektrischen Materials.
  10. Verfahren nach Anspruch 9, das ferner umfasst: Bilden eines zweiten dielektrischen Materials mit kleinem ε über dem dielektrischen Material und Strukturieren des zweiten dielektrischen Materials mit kleinem ε unter Verwendung des zweiten dielektrischen Materials als Ätzsteuermaterial.
  11. Verfahren mit: Bilden mehrerer Metallgebiete in einem dielektrischen Material; Entfernen eines Teils des dielektrischen Materials selektiv in Bezug auf die mehreren Metallgebiete, um Vertiefungen in dem dielektrischen Material in Bezug auf die Metallgebiete zu bilden, wobei das dielektrische Material und die Metallgebiete einen Teil einer Metallisierungsschicht eines Halbleiterbauelements bilden; und Bilden eines Deckmaterials zumindest auf den Metallgebieten.
  12. Verfahren nach Anspruch 11, wobei das Deckmaterial als ein leitendes Deckmaterial hergestellt wird.
  13. Verfahren nach Anspruch 12, wobei das leitende Deckmaterial vor dem Entfernen eines Teils des dielektrischen Materials gebildet wird.
  14. Verfahren nach Anspruch 12, das ferner umfasst: Bilden einer Metallvertiefung in den Metallgebieten und Bilden des leitenden Deckmaterials in den Metallvertiefungen.
  15. Verfahren nach Anspruch 12, das ferner umfasst: Bilden eines weiteren dielektrischen Materials in den Vertiefungen und Einebnen des weiteren dielektrischen Materials vor dem Bilden einer nachfolgenden Metallisierungsschicht.
  16. Verfahren nach Anspruch 15, wobei das weitere dielektrische Material und das dielektrische Material im Wesentlichen die gleiche Materialzusammensetzung aufweisen.
  17. Verfahren nach Anspruch 11, wobei das dielektrische Material eine Dielektrizitätskonstante von ungefähr 3,0 oder weniger besitzt.
  18. Halbleiterbauelement mit: einem ersten dielektrischen Material, das über einem Substrat gebildet ist; kupferenthaltenden Metallgebieten, die in dem ersten dielektrischen Material gebildet sind, wobei die kupferenthaltenden Metallgebiete Seitenwände und eine obere Fläche besitzen, wobei die obere Fläche in Bezug auf eine obere Fläche des ersten dielektrischen Materials abgesenkt ist; einem zweiten dielektrischen Material, das auf dem ersten dielektrischen Material und über der oberen Fläche ausgebildet ist; und einer leitenden Deckschicht, die auf der oberen Fläche der kupferenthaltenden Metallgebiete gebildet ist.
  19. Halbleiterbauelement nach Anspruch 18, wobei eine obere Fläche der leitenden Deckschicht auf einer Höhe angeordnet ist, die ungefähr gleich oder geringer ist als eine Höhe, die durch die obere Fläche des ersten dielektrischen Materials definiert ist.
  20. Halbleiterbauelement nach Anspruch 18, wobei die obere Fläche des kupferenthaltenden Materials in Bezug auf die obere Fläche des ersten dielektrischen Materials um ungefähr 50 nm oder mehr abgesenkt ist.
  21. Halbleiterbauelement nach Anspruch 18, wobei ein lateraler Abstand von zwei benachbartem Metallgebieten ungefähr 100 nm oder weniger beträgt.
DE102008044964.4A 2008-08-29 2008-08-29 Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen Expired - Fee Related DE102008044964B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102008044964.4A DE102008044964B4 (de) 2008-08-29 2008-08-29 Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
US12/507,421 US20100052175A1 (en) 2008-08-29 2009-07-22 Reducing leakage and dielectric breakdown in dielectric materials of metallization systems of semiconductor devices by forming recesses

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102008044964.4A DE102008044964B4 (de) 2008-08-29 2008-08-29 Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen

Publications (2)

Publication Number Publication Date
DE102008044964A1 true DE102008044964A1 (de) 2010-04-22
DE102008044964B4 DE102008044964B4 (de) 2015-12-17

Family

ID=41724108

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102008044964.4A Expired - Fee Related DE102008044964B4 (de) 2008-08-29 2008-08-29 Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen

Country Status (2)

Country Link
US (1) US20100052175A1 (de)
DE (1) DE102008044964B4 (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2656947B1 (de) * 2010-12-24 2019-08-21 Showa Denko K.K. Wolframpulver, positivelektrodenkörper für kondensatoren und elektrolytkondensator
US20130224948A1 (en) * 2012-02-28 2013-08-29 Globalfoundries Inc. Methods for deposition of tungsten in the fabrication of an integrated circuit
US8697565B2 (en) * 2012-03-30 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow via formation by oxidation
US9721889B1 (en) 2016-07-26 2017-08-01 Globalfoundries Inc. Middle of the line (MOL) metal contacts
US10699945B2 (en) * 2018-10-04 2020-06-30 International Business Machines Corporation Back end of line integration for interconnects
CN113793852A (zh) * 2021-09-15 2021-12-14 长江存储科技有限责任公司 自对准图形工艺方法及金属互连结构

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040113279A1 (en) * 2002-12-16 2004-06-17 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
DE102004030860A1 (de) * 2003-07-01 2005-02-03 International Business Machines Corp. Verfahren zum Schützen eines Metallisierungsgebiets und Halbleiterstruktur mit mindestens einem Metallisierungsgebiet
DE102004050391A1 (de) * 2004-10-15 2006-05-04 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US20070123029A1 (en) * 2004-04-22 2007-05-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3285509B2 (ja) * 1997-03-18 2002-05-27 三菱電機株式会社 半導体装置
US6211561B1 (en) * 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6555858B1 (en) * 2000-11-15 2003-04-29 Motorola, Inc. Self-aligned magnetic clad write line and its method of formation
US6555461B1 (en) * 2001-06-20 2003-04-29 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect
US6596640B1 (en) * 2002-06-21 2003-07-22 Intel Corporation Method of forming a raised contact for a substrate
US6974770B2 (en) * 2003-06-20 2005-12-13 Infineon Technologies Ag Self-aligned mask to reduce cell layout area
US8093150B2 (en) * 2006-09-19 2012-01-10 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040113279A1 (en) * 2002-12-16 2004-06-17 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
DE102004030860A1 (de) * 2003-07-01 2005-02-03 International Business Machines Corp. Verfahren zum Schützen eines Metallisierungsgebiets und Halbleiterstruktur mit mindestens einem Metallisierungsgebiet
US20070123029A1 (en) * 2004-04-22 2007-05-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
DE102004050391A1 (de) * 2004-10-15 2006-05-04 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung

Also Published As

Publication number Publication date
DE102008044964B4 (de) 2015-12-17
US20100052175A1 (en) 2010-03-04

Similar Documents

Publication Publication Date Title
DE102008063430B4 (de) Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102010028460B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements mit einer reduzierten Defektrate in Kontakten, das Austauschgateelektrodenstrukturen unter Anwendung einer Zwischendeckschicht aufweist
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102008049775B4 (de) Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102008016431B4 (de) Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen sowie Verfahren zu ihrer Herstellung
DE102007046846A1 (de) Seitenwandschutzschicht
DE102008007001A1 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102005024912A1 (de) Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht
DE102010002451A1 (de) Kontaktelemente von Halbleiterbauelementen, die einen kontinuierlichen Übergang zu Metallleitungen einer Metallisierungsschicht besitzen
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102009039421B4 (de) Doppelkontaktmetallisierung mit stromloser Plattierung in einem Halbleiterbauelement
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102009010844A1 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren
DE102008026211B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG,, DE

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

R082 Change of representative

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

R082 Change of representative

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

Effective date: 20120125

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Effective date: 20120125

R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee