DE102010063294B4 - Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen - Google Patents

Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen Download PDF

Info

Publication number
DE102010063294B4
DE102010063294B4 DE102010063294.5A DE102010063294A DE102010063294B4 DE 102010063294 B4 DE102010063294 B4 DE 102010063294B4 DE 102010063294 A DE102010063294 A DE 102010063294A DE 102010063294 B4 DE102010063294 B4 DE 102010063294B4
Authority
DE
Germany
Prior art keywords
copper
layer
silicon
metallization
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102010063294.5A
Other languages
English (en)
Other versions
DE102010063294A1 (de
Inventor
Ronny Pfuetzner
Jens Heinrich
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102010063294.5A priority Critical patent/DE102010063294B4/de
Priority to US13/192,164 priority patent/US8778795B2/en
Publication of DE102010063294A1 publication Critical patent/DE102010063294A1/de
Priority to US14/287,993 priority patent/US20140264877A1/en
Application granted granted Critical
Publication of DE102010063294B4 publication Critical patent/DE102010063294B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Verfahren zur Herstellung eines Metallisierungssystems (150) eines Halbleiterbauelements (100), wobei das Verfahren umfasst:Bilden einer Öffnung (171t, 171v) in einer dielektrischen Schicht (171) einer Metallisierungsschicht (170) des Metallisierungssystems (150), wobei die Öffnung (171t, 171v) innere Oberflächenbereiche (171s) besitzt;Bilden einer siliziumenthaltenden Schicht (172d) auf den inneren Oberflächenbereichen (171s);Bilden einer kupferenthaltenden Schicht (172c) auf der siliziumenthaltenden Schicht (172d);In Gang setzen einer Silizium-Kupferdiffusion durch Behandeln der kupferenthaltenden Schicht (172c) in Anwesenheit eines siliziumenthaltenden Vorstufengases, so dass eine leitende Barrierenschicht (172b) auf den inneren Oberflächenbereichen (171s) gebildet wird, die eine Kupfer/Siliziumverbindung aufweist; undBilden eines kupferenthaltenden Metalls (172a) auf der leitenden Barrierenschicht (172b).

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung Mikrostrukturen, etwa moderne integrierte Schaltungen, und betrifft insbesondere Metallisierungssysteme, die komplexe dielektrische und leitende Materialien aufweisen.
  • Beschreibung des Stands der Technik
  • In der US 2010/0167526 A1 werden Verfahren zur Verbesserung der Elektromigration von Verbindungsstrukturen aus Kupfer offenbart.
  • In der US 7524755 B2 wird ein Verfahren zur Herstellung einer Barrierenschicht und einer Deckschicht aus CuSiN für eine Verbindung beschrieben.
  • In der DE 102006056624 A1 wird die Herstellung einer Kupfer/Silizium/Stickstoff-Legierung in einem Oberflächenbereich eines kupferenthaltenden Gebiets beschrieben.
  • In der US 6214731 B1 wird eine Behandlung einer freiliegenden Oberfläche einer abgeschiedenen Barrierenmetallschicht mit Silan oder Dichlorosilan zum Bilden einer dünnen Siliziumschicht beschrieben.
  • In der US 2003/0214043 A1 wird eine Halbleitervorrichtung mit einer Verbindungsstruktur beschrieben.
  • In der US 2007/0273042 A1 wird eine in einer Öffnung gebildete Barrierenschicht beschrieben.
  • In der US 7655555 B2 wird eine Kupferverbindung mit einer Barriere aus einem Übergangsmetall und Silizium beschrieben.
  • Auf dem Gebiet moderner Mikrostrukturen, etwa integrierter Schaltungen, gibt es ein ständiges Bestreben, die Strukturgrößen der Mikrostrukturelemente zu verringern, um damit die Funktionsweise dieser Strukturen zu verbessern. Beispielsweise haben in modernen integrierten Schaltungen die minimalen Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, den Bereich deutlich unter 1 µm erreicht, wodurch das Leistungsverhalten dieser Schaltungen im Hinblick auf die Geschwindigkeit und/oder Leistungsaufnahme und/oder Vielfalt an Funktionen verbessert wird. Wenn die Größe der einzelnen Schaltungselemente mit jeder neuen Schaltungsgeneration verringert wird, wodurch beispielsweise die Schaltungsgeschwindigkeit der Transistoren erhöht wird, wird auch die verfügbare Fläche für Verbindungsstrukturen verringert, die die einzelnen Schaltungselemente elektrisch miteinander verbinden. Folglich müssen die Abmessungen dieser Verbindungsstrukturen ebenfalls verringert werden, um dem geringen Anteil an verfügbarer Fläche und der größeren Anzahl an Schaltungselementen, die pro Einheitschipfläche vorgesehen sind, Rechnung zu tragen, da typischerweise die Anzahl der erforderlichen Verbindungen schneller ansteigt als die Anzahl der Schaltungselemente. Daher wird für gewöhnlich eine Vielzahl gestapelten „Verdrahtungsschichten“, die auch als Metallisierungsschichten bezeichnet werden, bereitgestellt, wobei einzelne Metallleitungen einer Metallisierungsschicht mit einzelner Metallleitungen einer darüber liegenden oder darunter liegenden Metallisierungsschicht durch sogenannte Kontaktdurchführungen verbunden sind. Die vertikalen Verbindungen und die Metallleitungen können gemeinsam auch als Verbindungsstrukturen bezeichnet werden. Trotz des Vorsehens einer Vielzahl von Metallisierungsschichten sind reduzierte Abmessungen der Verbindungsstrukturen erforderlich, um der enormen Komplexität von beispielsweise modernen CPU's, Speicherchips, ASIC's (anwendungsspezifischen IC's) und dergleichen Rechnung zu tragen.
  • Moderne integrierte Schaltungen mit Transistoren mit einer kritischen Abmessung von 0,05 µm und weniger werden daher typischerweise bei deutlich erhöhten Stromdichten von bis zu mehreren Kiloampere pro cm2 in den einzelnen Verbindungsstrukturen betrieben trotz des Vorsehens einer relativ großen Anzahl an Metallisierungsschichten auf Grund der hohen Anzahl an Schaltungselementen pro Einheitsfläche. Daher werden gut etablierte Materialien, etwa Aluminium zunehmend durch Kupfer und Kupferlegierungen ersetzt, d. h. durch Materialien mit einem deutlich geringeren elektrischen Widerstand und mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration selbst bei deutlich höheren Stromdichten im Vergleich zu Aluminium. Das Einführen des Kupfers bei der Herstellung von Mikrostrukturen und integrierten Schaltungen ist jedoch mit einer Reihe ernsthafter Probleme verknüpft, die in der Eigenschaft des Kupfer begründet liegen, leicht in Siliziumdioxid und vielen dielektrischen Materialien mit kleinem ε zu diffundieren, die typischerweise in Verbindung mit Kupfer verwendet werden, um die parasitäre Kapazität in komplexen Metallisierungsschichten zu verringern. Um die erforderlich Haftung zu erreichen und um die unerwünschte Diffusion von Kupferatomen in empfindliche Bauteilgebiete zu unterdrücken, ist es daher für gewöhnlich erforderlich, eine Barrierenschicht zwischen dem Kupfer und dem dielektrischen Material mit kleinem ε vorzusehen, in welchem die kupferbasierten Verbindungsstrukturen eingebettet sind. Obwohl Siliziumnitrid ein dielektrisches Material ist, das wirksam die Diffusion von Kupferatomen unterdrückt, ist die Wahl von Siliziumnitrid als ein dielektrisches Zwischenschichtmaterial wenig wünschenswert, da Siliziumnitrid eine moderat hohe Permittivität besitzt, wodurch die parasitäre Kapazität benachbarter Kupferleitungen ansteigt, was zu einer nicht akzeptablen Signalausbreitungsverzögerung führt. Daher wird eine dünne leitende Barrierenschicht, die auch die erforderliche mechanische Stabilität dem Kupfer verleiht, für gewöhnlich hergestellt, um das Kupferfüllmaterial von dem umgebenden dielektrischen Material zu trennen, wodurch auch die Kupferdiffusion in die dielektrischen Materialien verringert wird, und wodurch auch die Diffusion von unerwünschten Substanzen, etwa von Sauerstoff, Fluor und dergleichen in das Kupfer verringert wird. Des weiteren können die leitenden Barrierenschichten auch eine sehr stabile Grenzfläche mit dem Kupfer erzeugen, wodurch die Wahrscheinlichkeit verringert, dass ein ausgeprägter Materialtransport an der Grenzfläche stattfindet, die typischerweise ein kritisches Gebiet im Hinblick auf ausgeprägte Diffusionspfade ist, die eine stromhervorgerufene Materialdiffusion ermöglichen. Gegenwärtig sind Tantal, Titan, Wolfram und ihre Verbindungen mit Stickstoff und Silizium und dergleichen bevorzugte Kandidaten für eine leitende Barrierenschicht, wobei die Barrierenschicht zwei oder mehr Teilschichten mit unterschiedlicher Zusammensetzung aufweisen kann, um damit den Erfordernissen im Hinblick auf das Unterdrücken der Diffusion und im Hinblick auf die Hafteigenschaft zu erfüllen.
  • Eine weitere Eigenschaft des Kupfers, die es wesentlich von Aluminium unterscheidet, ist die Tatsache, dass Kupfer nicht effizient in größeren Mengen durch chemische und physikalische Dampfabscheidetechniken aufgebracht werden kann, wodurch eine Prozessstrategie erforderlich ist, die üblicherweise als Damaszener-Technik oder Einlegetechnik bezeichnet wird. In dem Damaszener-Prozess wird zunächst eine dielektrische Schicht hergestellt, die anschließend strukturiert wird, dass diese Gräben und/oder Kontaktlochöffnungen aufweist, die nachfolgend mit Kupfer gefüllt werden, wobei, wie zuvor angemerkt ist, vor dem Einfüllen des Kupfers eine leitende Barrierenschicht an Seitenwänden der Gräben und Kontaktdurchführungen hergestellt wird. Das Abscheiden des Kupferfüllmaterials in die Gräben und Kontaktlochöffnungen wird für gewöhnlich durch nasschemische Abscheideprozesse, etwa durch Elektroplattieren und stromloses Plattieren bewerkstelligt, wobei das zuverlässige Auffüllen von Kontaktdurchführungen mit einem Aspektverhältnis von 5 und höher beim Durchmesser von 0,3 µm oder weniger in Verbindung mit Gräben mit einem Breitenbereich von 0,1 µm bis mehrere Mikrometer erforderlich ist. Elektrochemische Abscheideprozesse für Kupfer sind auf dem Gebiet der elektronischen Leiterplattenherstellung gut etabliert. Für die Abmessungen der Metallgebiete in Halbleiterbauelementen ist jedoch das hohlraumfreie Füllen von Kontaktlochöffnungen mit hohem Aspektverhältnis eine äußerst komplexe und herausfordernde Aufgabe, wobei die Eigenschaften der schließlich erhaltenen kupferbasierten Verbindungsstruktur wesentlich von Prozessparametern, Materialien und der Geometrie der interessierenden Struktur abhängt. Da die Geometrie der Verbindungsstrukturen im Wesentlichen durch die Entwurfserfordernisse festgelegt ist und daher nicht wesentlich bei einer vorgegebenen Mikrostruktur geändert werden kann, ist es von großer Bedeutung, den Einfluss von Materialien, etwa von leitenden und nicht leitenden Barrierenschichten, auf die Kupfermikrostruktur und dergleichen abzuschätzen und zu steuern, und es ist auch wichtig die gegenseitige Wechselwirkung auf die Eigenschaften der Verbindungsstruktur als Ganzes zu kennen, um sowohl eine hohe Ausbeute als auch die erforderliche Produktzuverlässigkeit sicherzustellen. Insbesondere ist es wichtig, Beinträchtigungs- und Ausfallmechanismen in Metallisierungssystemen für diverse Konfigurationen zu erkennen, zu überwachen und zu reduzieren, um damit die Bauteilzuverlässigkeit für jede neue Bauteilgeneration oder jeden neuen Technologiestandard zu bewahren.
  • Folglich werden große Anstrengungen unternommen, um die Beeinträchtigung von Kupferverbindungen insbesondere in Verbindung mit dielektrischen Materialien mit kleinem ε oder mit Materialien mit sehr kleinem ε (ULK) mit einer relativen Permittivität von 3,0 oder weniger zu untersuchen, um neue Materialien und Prozessstrategien zu finden, so dass kupferbasierte Leitungen und Kontaktdurchführungen mit einer insgesamt geringen Permittivität und verbesserter Zuverlässigkeit hergestellt werden können.
  • Ein Ausfallmechanismus, von dem angenommen wird, dass er wesentlich zu einem vorzeitigen Bauteilausfall beiträgt, ist der durch Elektromigration hervorgerufene Materialtransport insbesondere entlang einer Grenzfläche, die zwischen dem Kupfer und Barrierenmaterialien und einer dielektrischen Deckschicht gebildet ist, die an den Seitenwänden und an der Oberseite des Kernmetalls ausgebildet sind.
  • Daher muss das leitende Barrierenmaterial nicht nur für eine bessere Haftung und für Kupferdiffusionsblockiereigenschaften sorgen, sondern dieses muss auch eine „starke“ Grenzfläche mit dem Kupferkernmetall erzeugen, um die strominduzierte Kupferdiffusion entlang den Grenzflächenbereichen zu reduzieren und um im Wesentlichen eine Materialdiffusion durch die Barrierenschichten zu unterdrücken. Bei einer weiteren Verringerung der Abmessungen der Bauteile gewinnen insbesondere die Eigenschaften der leitenden Barrierenmaterialien an Bedeutung, da typischerweise die Dicke der Barrierenmaterialien nicht in der gleichen Weise verringert werden kann, wie die gesamten lateralen Abmessungen der Verbindungsstrukturen reduziert werden. D. h., im Hinblick auf eine zuverlässige Abdeckung innerer Oberflächenbereiche der Verbindungsstrukturen ist eine gewisse minimale Dicke beizubehalten, um in zuverlässiger Weise jegliche kritische Seitenwandbereiche, etwa untere Bereiche der Kontaktlochöffnungen und dergleichen, abzudecken. Folglich ist die Schichtdicke in weniger kritischen Bereichen ggf. deutlich größer, wodurch die wirksame Querschnittsgröße der resultierenden Verbindungsstrukturen verringert wird. Bei einer weiteren Skalierung der Verbindungsstrukturen kann daher der spezifische Widerstand dieser Strukturen auf Grund der relativen Zunahme des leitenden Barrierenmaterials in Bezug auf das eigentliche gut leitende Kernmetall ansteigen. Aus diesem Grunde werden große Anstrengungen unternommen, um die Dicke der leitenden Barrierenschichtsysteme zu verringern, ohne die gesamten Elektromigrationsleistungseigenschaften zu beeinträchtigen. Es erweist sich jedoch, dass das erforderliche Elektromigrationsverhalten in Verbindung mit einem gewünschten geringen Widerstand der resultierenden Verbindungsstrukturen schwer erreichbar ist auf der Grundlage gut etablierter Barrierenschichtsysteme, die Tantal und Tantalnitrid aufweisen.
  • Im Hinblick auf die zuvor beschriebene Situation betrifft die vorliegende Erfindung Verfahren , in denen komplexe Metallisierungssysteme auf der Grundlage gut leitender Kern- oder Füllmetalle, etwa Kupfer, in Verbindung mit einem geeigneten leitenden Barrierenmaterial hergestellt werden, während eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die Erfindung
  • Grundsätzlich stellt die vorliegende Erfindung Halbleiterbauelemente und Fertigungstechniken bereit, in denen gut leitende Füllmetalle, etwa Kupfer, Kupferlegierungen, Silber und dergleichen zuverlässig auf der Grundlage eines leitenden Barrierenmaterial eingeschlossen werden können, das für ein besseres Elektromigrationsverhalten und einen geringeren elektrischen Widerstand im Vergleich zu konventionellen tantalbasierten Schichtsystemen sorgt. Dazu wird ein kupfer/siliziumenthaltendes Material als eine Grenzfläche zwischen einem dielektrischen Material und dem gut leitenden Kernmetall vorgesehen, wodurch die vorteilhaften Materialeigenschaften einer Kupfer/Siliziumverbindung ausgenutzt werden. Im Weiteren wird ein silizium- und kupferenthaltendes leitendes Material mit einer Kupferkonzentration von 5 Atomprozent oder mehr und einem Siliziumanteil von 5 Atomprozent oder mehr als „Kupfersilizid“ bezeichnet, unabhängig von der tatsächlichen stöchiometrischen Zusammensetzung der Silizium/Kupferverbindung. Ferner können die Silizium/Kupferverbindungen auch andere Atomsorten aufweisen, die in einigen anschaulichen Ausführungsformen mit einer Konzentration von 5 Atomprozent oder weniger vertreten sind, wobei eine derartige Materialzusammensetzung als ein Kupfersilizid bezeichnet wird. Es ist gut bekannt, dass Kupfersilizidmaterial ein besseres Elektromigrationsverhalten besitzt, beispielsweise im Vergleich zu Tantal und Tantalnitrid, wodurch eine höhere Stabilität während typischer Belastungssituationen geschaffen wird, wie sie während des Betriebs komplexer Halbleiterbauelemente auftreten können. Somit kann die strominduzierte oder allgemein belastungsinduzierte Kupferdiffusion effizient durch das Kupfersilizidmaterial blockiert werden, selbst wenn dieses mit einer geringeren Dicke im Vergleich zu konventionellen leitenden Barrierenmaterialsystemen vorgesehen wird. Des Weiteren ist die elektrische Leitfähigkeit größer im Vergleich zu konventionell verwendeten TantallTantalnitridbarrierensystemen, wodurch das elektrische Verhalten verbessert und insbesondere der Widerstand zwischen Kontaktdurchführungen und Metallleitungen verringert wird, die konventioneller Weise einen moderat hohen Widerstand auf Grund des Tantal/Tantalnitridbarrierenmaterials aufweisen. In anschaulichen hierin offenbarten Ausführungsformen wird die Siliziumsorte durch Abscheiden einer speziellen Schicht vorgesehen, die vor dem Abscheiden einer kupferenthaltenden Materialschicht bereitgestellt wird, um einen Silizidierungsprozess in Gang zu setzen. Folglich kann die Silizium/Kupferverbindung mit guter Steuerbarkeit bereitgestellt werden, wodurch zu einer höheren Zuverlässigkeit und einem besseren elektrischen Leistungsverhalten beigetragen wird.
  • Ein erfindungsgemäßes Verfahren umfasst die Merkmale des Anspruchs 1.
  • Ausführungsformen der Erfindung umfassen die in den abhängigen Ansprüchen definierten Merkmale.
  • Figurenliste
  • Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
    • 1a schematisch eine Querschnittsansicht eines Halbleiterbauelements mit einem Metallisierungssystem zeigt, das eine verbesserte Barrierenmaterialschicht mit einer Kupfersiliziumverbindung in Kombination mit einem gut leitenden Kernmetall, etwa Kupfer, gemäß anschaulicher Ausführungen aufweist;
    • 1b und 1c schematisch einen Teil des Metallisierungssystems gemäß erläuternder Beispiele, die nicht Teil der Erfindung sind, zeigen, in denen das Silizium/Kupferverbindungsmaterial durch Abscheiden eines Kupfermaterials und durch Einwirkung mittels einer siliziumenthaltenden Prozessatmosphäre hergestellt wird, um eine „Silizidierungsreaktion“ hervorzurufen;
    • 1d und 1e schematisch das Halbleiterbauelement gemäß anschaulicher Ausführungsformen zeigen, in denen eine siliziumenthaltende Materialschicht gefolgt von einer Kupferschicht abgeschieden wird, um einen Silizidierungsprozess zur Erzeugung der kupfer/siliziumenthaltenden Barrierenschicht in Gang zu setzen;
    • 1f schematisch das Halbleiterbauelement gemäß weiterer erläuternder Beispiele zeigt, in denen ein spezielles Trägermaterial mit einer Siliziumsubstanz auf einer Kupferschicht so hergestellt wird, dass eine Silizium- und Kupferdiffusion angeregt wird, um damit das Kupfersilizidbarrierenmaterial zu erzeugen; und
    • 1g schematisch das Halbleiterbauelement in einer weiter fortgeschrittenen Fertigungsphase zeigt, in der eine kupferbasierte Verbindungsstruktur so hergestellt wird, dass diese ein Kupfersilizidbarrierenmaterial gemäß noch weiterer anschaulicher Ausführungsformen enthält.
  • Detaillierte Beschreibung
  • Generell betrifft die vorliegende Erfindung die Problematik einer geringeren Zuverlässigkeit und einem reduzierten elektrischen Leistungsvermögen komplexer Metallisierungssysteme, wobei dies durch Barrierenmaterialsysteme, etwa Tantal-Tantalnitridbarrierensysteme hervorgerufen wird. Dazu wird in einigen anschaulichen hierin offenbarten Ausführungsformen die Verwendung konventioneller tantalbasierter Barrierensysteme vermieden, indem ein leitendes Barrierenmaterial in Form einer Kupfer/Siliziumverbindung vorgesehen wird, die auch als Kupfersilizid bezeichnet wird, die an sich ein besseres Elektromigrationsverhalten im Vergleich zu Tantal und Tantalnitrid aufweist, während gleichzeitig die elektrische Leitfähigkeit höher ist im Vergleich zu konventionellen Barrierensystemen. Auf diese Weise kann bei einer vorgegebenen Dicke und vorgegebenen lateralen Abmessungen komplexer Verbindungsstrukturen ein insgesamt geringerer Widerstand erreicht werden, insbesondere an einer Grenzfläche zwischen einer Kontaktdurchführung und einer tiefer liegenden Metallleitung, während gleichzeitig das Kupfersilizid für ein besseres Elektromigrationsverhalten sorgt, d. h. die strominduzierte Metalldiffusion entlang und durch innere Oberflächenbereiche der Verbindungsstruktur wird im Vergleich zu konventionellen Barrierensystemen reduziert.
  • In einigen anschaulichen hierin offenbarten erläuternden Beispielen, die nicht Teil der Erfindung sind, wird die Kupfer/Siliziumverbindung hergestellt, indem eine Kupferschicht mit einer gewünschten Dicke, beispielsweise auf der Grundlage einer Sputter-Abscheidung, elektrochemischer Abscheidung und dergleichen, vorgesehen wird, die nachfolgend in ein Kupfersilizid umgewandelt wird, indem die Kupferschicht einer siliziumenthaltenden Prozessatmosphäre ausgesetzt wird, die beispielsweise auf der Grundlage einer Plasmaatmosphäre mit Vorstufengasen, etwa Silan und zugehörigen Gasen, eingerichtet wird, während in anderen Fällen eine thermisch aktivierte Gasatmosphäre eingerichtet wird, beispielsweise von Grundlage von HMDS (Hexamethyldisilazan) oder Abkömmlingen davon, die gut etablierte Chemikalien darstellen, beispielsweise zur Behandlung komplexer dielektrischer Materialien mit kleinem ε, um ätzabhängige Schäden in derartigen Materialien zu verringern. In anderen erläuternden Beispielen wird die siliziumenthaltende Prozessatmosphäre eingerichtet, indem eine spezielle siliziumenthaltende Materialschicht auf einer Kupferschicht hergestellt und indem eine entsprechende Kupfer-Silizium-Diffusion in Gang gesetzt wird, um damit die gewünschte Kupfer/Silizium-Verbindung zu erzeugen. Beispielsweise sind Triazol oder Abkömmlinge davon gut etablierte Chemikalien zur Behandlung von Kupferoberflächen und haften an freiliegenden Kupferoberflächenbereichen derart, dass eine gut definierte Oberflächenschicht erzeugt wird. Ferner können diese Chemikalien auch so präpariert werden, dass sie Silizium oder andere funktionale Gruppe enthalten, die somit an der Kupferoberfläche in gut steuerbarer Weise anhaften. In einem nächsten Schritt wird die Siliziumsorte freigesetzt, beispielsweise durch Zerfall des Trägermaterials, was bewerkstelligt werden kann unter Anwendung höherer Temperaturen, durch Strahlung, etwa UV-Strahlung und dergleichen. Folglich wird in der nachfolgenden Siliziumdiffusion eine gut gesteuerte Menge an Silizium in die Kupferschicht eingebaut, um Kupfersilizid in einer gut steuerbaren Weise zu erzeugen.
  • In anschaulichen Ausführungsformen der Erfindung wird die Kupfer/Siliziumverbindung herstellt, indem eine siliziumenthaltende Materialschicht, etwa eine Siliziumschicht, aufgebracht wird, und anschließend wird eine kupferenthaltende Schicht auf der siliziumenthaltenden Schicht hergestellt, um eine Silizium/Kupferdiffusion in Gang zu setzen, wodurch ebenfalls das gewünschte Kupfersilizidbarrierenmaterial erzeugt wird. Daraufhin wird das eigentliche Füllmetall oder Kernmetall, etwa Kupfer, auf der Basis einer beliebigen geeigneten Abscheidetechnik aufgebracht, etwa durch elektrochemische Abscheidetechniken, wobei das Kupfersilizidmaterial als eine Katalysatorschicht oder Saatschicht dienen kann. In anderen Fällen wird bei Bedarf eine spezielle Saatschicht auf dem Kupfersilizidmaterial hergestellt, um die nachfolgende Abscheidung des eigentlichen Füllmetalls zu verbessern.
  • Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 in einer fortgeschrittenen Fertigungsphase, in der ein Metallisierungssystem 150 über einer Bauteilebene 120 des Halbleiterbauelements 100 ausgebildet ist. Die Bauteilebene 120 kann so verstanden werden, dass sie mindestens eine Halbleiterschicht aufweist, in und über welcher entsprechende halbleiterbasierte Schaltungselemente 121 hergestellt sind, etwa Transistoren, Kondensatoren und dergleichen, wie dies gemäß dem speziellen Schaltungsaufbau des Bauelements 100 erforderlich ist. Ferner ist ein geeignetes Substrat 101, etwa ein Halbleitersubstrat in Form eines Siliziummaterials und dergleichen gemäß den gesamten Bauteil- und Prozesserfordernissen vorgesehen. Wie zuvor erläutert ist, weist das Metallisierungssystem 150 mehrere Metallisierungsschichten abhängig von der Gesamtkomplexität des Bauelements 100 auf. Der Einfachheit halber ist eine Metallisierungsschicht 160 in 1a gezeigt, an die sich eine weitere Metallisierungsschicht 170 anschließt, in der geeignete Verbindungsstrukturen noch herzustellen sind. Die Metallisierungsschicht 160 umfasst ein geeignetes dielektrisches Material 161, das dielektrische Materialien mit kleinem ε, ULK-dielektrische Materialien (sehr kleines ε) und dergleichen aufweisen kann, möglicherweise in Verbindung mit „konventionellen“ dielektrischen Materialien, wobei dies von der gesamten Komplexität des Metallisierungssystems 150 abhängt. Zu beachten ist, dass in anspruchsvollen Anwendungen und insbesondere in Anwendungen mit geringer Leistungsaufnahme typischerweise ein dielektrisches Material mit kleinem ε in die dielektrische Schicht 161 eingebaut wird. Ferner sind mehrere Metallgebiete 162, die auch als Metallleitungen oder Verbindungsstrukturen bezeichnet werden, so vorgesehen, dass sie in dem dielektrischen Material 161 eingebettet sind. Des Weiteren ist eine Deckschicht oder Ätzstoppschicht 163 über dem dielektrischen Material 161 und den Metallgebieten 162 ausgebildet. In einigen anschaulichen Ausführungsformen ist die Schicht 163 direkt auf dem Metallgebieten 162 ausgebildet, so dass diese als ein dielektrisches Barrierenmaterial dient, während in anderen Fällen ein spezielles leitendes Barrierenmaterial als ein oberer Bereich (nicht gezeigt) der Metallgebiete 162 vorgesehen ist. Beispielsweise ist die Schicht 163 aus Siliziumdioxid, stickstoffenthaltendem Siliziumkarbid, Siliziumnitrid und dergleichen aufgebaut.
  • Es sollte beachtet werden, dass die Metallgebiete 162 ein gut leitendes Kernmetall 162a, etwa ein Kupfermaterial und dergleichen, aufweisen, das durch eine leitende Barrierenschicht 162b eingeschlossen ist, die in einigen anschaulichen Ausführungsformen eine Kupfer/Siliziumverbindung umfasst, um damit ein besseres Elektromigrationsverhalten und ein besseres elektrisches Leistungsvermögen zu ermöglichen, wie dies zuvor bereits erläutert ist.
  • Die Metallisierungsschicht 170 enthält in dieser Fertigungsphase ein dielektrisches Material 171, das ebenfalls ein dielektrisches Material mit kleinem ε oder ein ULK-Material in anspruchsvollen Anwendungen aufweist, wobei dieses auf oder über der Schicht 163 ausgebildet ist. Ferner ist eine Öffnung einer Verbindungsstruktur 172 in dem dielektrischen Material 171 vorgesehen. Beispielsweise sind ein Graben 171t und eine Kontaktlochöffnung 171v so vorgesehen, dass eine Verbindung zu einem der Metallgebiete 162 entsteht. Es sollte jedoch beachtet werden, dass die Öffnungen 171t, 171v einen beliebigen geeigneten Aufbau besitzen können, wie dies für den gesamten Schaltungsaufbau der Metallisierungsschicht 170 erforderlich ist.
  • Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozessstrategie hergestellt werden. Die Schaltungselemente 121 in der Bauteilebene 120 werden hergestellt unter Anwendung geeigneter Prozesstechniken, wozu komplexe Lithographieprozesse, Abscheideprozesse, Ätztechniken, Einebnungsprozesse, Implantationssequenzen, Ausheizprozesse und dergleichen gehören. Beispielsweise werden die Schaltungselemente 121 gemäß einigen anschaulichen Ausführungsformen auf der Grundlage kritischer Abmessungen von 50 nm und weniger hergestellt. Beispielsweise werden mindestens einige der Schaltungselemente 121 in Form von Feldeffekttransistoren hergestellt, die eine kritische Abmessung von 50 nm oder weniger besitzen. Daraufhin wird eine Kontaktstruktur (nicht gezeigt) so vorgesehen, dass diese als Schnittstelle zwischen der Bauteilebene 120 und dem Metallisierungssystem 150 dient. Dazu wird eine beliebige geeignete Kontakttechnologie eingesetzt. Als nächstes werden die eine oder die mehreren Metallisierungsschichten des Systems 150 gebildet. Beispielsweise wird die Metallisierungsschicht 160 gemäß Prozesstechniken hergestellt, wie sie detaillierter mit Bezug zur Metallisierungsschicht 170 erläutert werden. Daher wird eine detaillierte Beschreibung der entsprechenden Prozessschritte hier weggelassen. Nach dem Abscheiden der Deckschicht oder Ätzstoppschicht 163 werden somit ein oder mehrere geeignete Materialien für die dielektrische Schicht 171 hergestellt, beispielsweise durch CVD- (chemische Dampfabscheide-) Techniken, Aufschleudertechniken und dergleichen, wobei dies von der verwendeten Materialart abhängt. Bei Bedarf kann die Dielektrizitätskonstante eingestellt werden, indem weitere Behandlungen angewendet werden, beispielsweise durch Erzeugen oder Erhöhen des Grades an Porosität in einem dielektrischen Basismaterial und dergleichen. Daraufhin werden komplexe Strukturierungsstrategien angewendet, wozu Lithographieprozesse und Ätzstrategien auf der Grundlage von Hartmaskenschemata und dergleichen gehören, um die Öffnungen 171t, 171v zu erzeugen. In anderen Fällen werden die Öffnungen 171v separat hergestellt, beispielsweise durch Vorsehen eines Teils des dielektrischen Materials 171 und durch Strukturieren des Teils, woran sich ein nachfolgendes Abscheiden entsprechender leitender Materialien anschließt, wie dies auch später detaillierter beschrieben ist. In dem gezeigten Beispiel unterliegenden die Öffnungen 171t, 171v einer gemeinsamen Prozesssequenz, um ein geeignetes Füllmetall in Verbindung mit einem silizium- und kupferbasierten Barrierenmaterial vorzusehen.
  • 1b zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase gemäß einem erläuternden Beispiel, das nicht Teil der Erfindung ist, wobei der Einfachheit halber Bereiche der Metallisierungsschichten 170, 160 gezeigt sind. Wie dargestellt, wird ein Abscheideprozess 103 ausgeführt, um eine kupferenthaltende Materialschicht 172c an inneren Seitenwänden 171s der Öffnungen 171t, 171v vorzusehen. Es sollte jedoch beachtet werden, dass die Materialschicht 172c auch anderen freiliegenden Oberflächenbereichen des dielektrischen Materials 171 erzeugt wird. Ferner wird die Schicht 172c an freiliegenden Oberflächenbereichen 162s des Metallgebiets der Metallisierungsschicht 160 erzeugt. In einigen Beispielen wird die Schicht 172c in Form eines im Wesentlichen reinen Kupfermaterials bereitgestellt, das unter Anwendung von Sputter-Abscheidetechniken und dergleichen aufgebracht wird. In noch anderen Fällen ist in der Schicht 172c eine zusätzliche Substanz eingebaut, etwa eine legierungsbildende Substanz in Form von Aluminium und dergleichen, wenn dies für die Silizium/Kupferverbindung auf der Grundlage der Schicht 172c als geeignet erachtet wird. Eine entsprechende Substanz kann während des Abscheideprozesses 103 eingebaut werden oder kann bei Bedarf während eines separaten Prozessschrittes eingebaut werden, beispielsweise durch Anwenden einer Plasmaumgebung und dergleichen.
  • Es sollte beachtet werden, dass vor dem Ausführen des Abscheideprozesses 103 zusätzliche Oberflächenbehandlungen ausgeführt werden können, um die Oberfläche des Materials 171 zu verbessern, das während der Strukturierung der Öffnungen 171c, 171v geschädigt worden sein kann, insbesondere, wenn das Material 171 ein ULK-Material aufweist. Dazu können gut etablierte Reparaturstrategien angewendet werden, beispielsweise durch Einwirken mittels einer siliziumenthaltenden Prozessatmosphäre und dergleichen auf das Material 171.
  • 1c zeigt schematisch das Bauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der das Bauelement 100 die kupferenthaltende Materialschicht 172b aufweist, die der Einwirkung einer siliziumenthaltenden Prozessatmosphäre 104 ausgesetzt wird, die in einigen Beispielen auf der Grundlage einer Plasmaatmosphäre mit einem geeigneten siliziumenthaltenden Gas, etwa Silan oder einer ähnlichen siliziumenthaltenden Gaskomponente eingerichtet wird. Während der Einwirkung mittels der Atmosphäre 104 wird somit Silizium in die zuvor abgeschiedene Schicht 172c eingebaut (siehe 1b), wodurch zunehmend das Basismaterial dieser Schicht in eine Kupfer/Siliziumverbindung umgewandelt wird, wodurch ein Kupfersilizid erzeugt wird. Nach dem Ende der Einwirkung der Atmosphäre 104 wird somit eine Kupfersiliziumverbindungsbarrierenschicht 172b auf freiliegenden Oberflächenbereichen geschaffen und insbesondere auf den Oberflächenbereichen 171s der Öffnungen 171t, 171v. In einigen Beispielen ist somit die Barrierenschicht 172b aus einer Verbindung aufgebaut, die eine chemische Formel CuxSiy aufweist, wobei x = 0,05 ... 0,95 und wobei y = 0,95 ... 0,05 ist. Zu beachten ist jedoch, dass weitere Atomsorten in die Schicht 172b auf Grund von Unregelmäßigkeiten des Prozesses 104, auf Grund von Verunreinigungen der zuvor abgeschiedenen Schicht 172c (siehe 1b) und dergleichen eingebaut werden können. In diesem Falle ist jedoch eine unerwünschte Atomsorte mit einem Anteil von 5 Atomprozent oder weniger vertreten. In anderen Beispielen, wie dies zuvor erläutert ist, wird eine weitere Atomsorte, etwa Aluminium und dergleichen, mit einem Prozentsatz von 5 Atomprozent und mehr bereitgestellt, wobei durch Kupfer und Silizium weiterhin die dominierenden Substanzen in der Barrierenschicht 172b darstellen.
  • 1d zeigt schematisch das Halbleiterbauelement 100 gemäß r anschaulicher Ausführungsformen. Wie gezeigt, ist eine siliziumenthaltende Materialschicht 172d, etwa eine amorphe Siliziumschicht, auf den freiliegenden inneren Oberflächenbereichen 171s und auf dem Metallgebiet 162 ausgebildet, was durch Anwenden einer beliebigen geeigneten Abscheidetechnik bewerkstelligt werden kann. Ferner ist die kupferenthaltende Schicht 172c auf der Schicht 172d ausgebildet, was durch Sputter-Abscheidetechniken und dergleichen bewerkstelligt werden kann, wie dies auch zuvor erläutert ist. Es sollte beachtet werden, dass in einigen anschaulichen Ausführungsformen die Schicht 172d während und nach einer Prozesssequenz hergestellt werden kann, um die gesamten Oberflächenbedingungen des dielektrischen Materials 171 zu verbessern, beispielsweise auf der Grundlage von Reparaturchemikalien, die HMDS und dergleichen enthalten. Auf diese Weise kann die resultierende Oberfläche „gehärtet“ werden, wodurch auch ein unerwünschtes Kupfereindringen während der Abscheidung der Schicht 172c vermieden wird.
  • 1e zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der eine Behandlung 105 so angewendet wird, dass die Diffusion zwischen Silizium und Kupfer der zuvor abgeschiedenen Schichten stattfindet, um damit zunehmend die gewünschte Kupfer/Siliziumverbindung und damit die Barrierenschicht 172b zu erzeugen. Beispielsweise wird die Prozessatmosphäre 105 auf der Grundlage erhöhter Temperaturen im Bereich von 100 bis 300 Grad C und mehr eingestellt, während in anderen Fällen eine Plasmaatmosphäre eingerichtet wird, um die gewünschte Diffusionsaktivität in Gang zu setzen. Der Prozess 105 wird in Anwesenheit eines siliziumenthaltenden Vorstufengases ausgeführt, wodurch eine höhere Effizienz bei der Herstellung der Kupfer/Siliziumbarrierenschicht 172b erreicht wird.
  • 1f zeigt schematisch das Halbleiterbauelement 100 gemäß weiterer erläuternder Beispiele, die nicht Teil der Erfindung sind. Wie gezeigt, ist die kupferenthaltende Materialschicht 172c an den inneren Seitenwandoberflächenbereichen 171s mittels einer geeigneten Abscheidetechnik hergestellt, wie dies auch zuvor erläutert ist. Daraufhin wird eine spezielle siliziumenthaltende Materialschicht 172e in einer gut steuerbaren Weise hergestellt, was in einigen anschaulichen Ausführungsformen bewerkstelligt wird, indem ein geeignetes Trägermaterial, etwa Triazol oder Benzentriazol verwendet wird, das darin eine Siliziumsorte aufweist. Es ist gut bekannt, dass Triazol und Abkömmlinge davon vorzugsweise an einer Kupferoberfläche anhaften und somit eine gut definierte Oberflächenschicht in zuverlässiger Weise bilden. Nach dem Abscheiden der Trägerschicht, die die Siliziumsubstanz enthält, kann folglich eine geeignete Behandlung 106 angewendet werden, um die Siliziumsorte „freizusetzen“ und um eine Siliziumdiffusion in die darunter liegende kupferenthaltende Materialschicht 172c in Gang zu setzen. Dazu wird eine Zerfallsreaktion für das Trägermaterial in Gang gesetzt, beispielsweise durch erhöhte Temperaturen, etwa bei einigen 100 Grad C, durch UV-Strahlung und dergleichen, so dass die verbleibende Siliziumsorte in die Schicht 172c eingebaut wird. Da die Schicht 172e mit einer gut definierten Schichtdicke bereitgestellt wird, wird auch eine gut definierte Menge an Silizium in die Schicht 172c eingebaut. Bei Bedarf kann das Abscheiden der Materialschicht 172e wiederholt werden, um weiterhin Silizium in gut steuerbarer Weise einzubauen. Auf diese Weise ist eine zuverlässige Ausbildung einer Kupfer/Siliziumverbindung in jeglichen Bauteilbereichen gewährleistet, beispielsweise innerhalb der Kontaktlochöffnung 171v und insbesondere an der freiliegenden Oberfläche 162s des Metallgebiets 162.
  • 1g zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, umfasst die Verbindungsstruktur 172 das Kupfer/Siliziumbarrierenmaterial 172b, das auf der Grundlage der zuvor beschriebenen Prozessstrategien aufgebracht werden kann, wobei in einigen anschaulichen Ausführungsformen die Barrierenschicht 172b eine zusätzliche Schicht oder einen Schichtbereich, etwa einen Siliziumschichtbereich, aufweisen kann, wie dies beispielsweise in 1e gezeigt ist, wobei dies von der angewendeten Prozessstrategie abhängt. In anderen Fällen bildet die Kupfer/Siliziumverbindung eine Grenzfläche zwischen dem dielektrischen Material 171 und einem gut leitenden Kernmetall 172a, etwa einem Kupfermaterial. In ähnlicher Weise ist die leitende Barrierenschicht 172b auch einer Grenzfläche zwischen dem Metallgebiet 162 und der Verbindungsstruktur 172, d. h. zwischen der Kontaktdurchführung 172v und dem Metallgebiet 162 vorgesehen. Da generell eine Kupfer/Siliziumverbindung, die in der leitenden Barrierenschicht 172b enthalten ist, eine höhere elektrische Leitfähigkeit und bessere Elektromigrationseigenschaften im Vergleich zu konventionellen tantal/tantalnitridbasierten Barrierenmaterialien besitzt, ist der elektrische Widerstand zwischen dem Metallgebiet 162 und der Verbindungsstruktur 172 geringer, wobei dennoch eine stromhervorgerufene Materialdiffusion durch die Grenzfläche, die durch die Barrierenschicht 172b gebildet ist, im Vergleich zu konventionellen Barrierensystemen reduziert ist. In ähnlicher Weise wird auch ein Materialaustritt aus den Metallleitungen 1721 in das dielektrische Material 171 auf Grund der verbesserten Elektromigrationseigenschaften unterdrückt. Folglich können für eine ansonsten vorgegebene Konfiguration der Metallisierungsschichten 160, 170 ein besseres elektrisches Leistungsverhalten und eine erhöhte Lebensdauer erreicht werden, indem die Barrierenschicht 172b auf der Grundlage einer Kupfer/Siliziumverbindung bereitgestellt wird.
  • Das in 1g gezeigte Halbleiterbauelement kann auf der Grundlage einer beliebigen geeigneten Prozesstechnik hergestellt werden, wobei dies die elektrochemische Abscheidung des Kernmetalls mit einschließt, indem stromlose Abscheidetechniken, das Elektroplattieren oder eine Kombination davon angewendet werden. In einigen anschaulichen Ausführungsformen wird das Kernmetall 172a direkt auf dem leitenden Barrierenmaterial 172b aufgebracht und somit wird in einigen anschaulichen Ausführungsformen das Metall 172a direkt auf einer Kupfer/Siliziumverbindung abgeschieden. In andern anschaulichen Ausführungsformen wird eine spezielle Saatschicht (nicht gezeigt) hergestellt, beispielsweise durch Sputter-Abscheidung und dergleichen, bevor das Kernmetall 172a eingefüllt wird. Daraufhin wird überschüssiges Material abgetragen, beispielsweise durch CMP (chemisch-mechanisches Polieren), Elektro-CMP, Ätzen und dergleichen, wodurch auch Reste des leitenden Barrierenmaterials 172b abgetragen werden. Somit kann die Verbindungsstruktur 172 als ein elektrisch isoliertes Strukturelement der Metallisierungsschicht 170 bereitgestellt werden. Daraufhin geht die Bearbeitung weiter, indem eine Deckschicht, etwa eine dielektrische Deckschicht oder eine leitende Deckschicht auf der Verbindungsstruktur 172 hergestellt wird. Beispielsweise wird ein dielektrisches Material, etwa in Form des Materials 163, so gebildet, dass das Kernmetall 172a eingeschlossen wird, möglicherweise in Verbindung mit einem speziellen leitenden Deckmaterial, das auf der Grundlage stromloser Abscheidetechniken bei Bedarf aufgebracht werden kann. In einigen anschaulichen Ausführungsformen wird auch eine Kupfer/Siliziumverbindung an der Oberfläche der Verbindungsstruktur 172 vor dem Abscheiden eines dielektrischen Materials aufgebracht, was bewerkstelligt werden kann mittels ähnlicher Prozesstechniken, wie sie zuvor mit Bezug zu der Barrierenschicht 172b erläutert sind.
  • Es sollte beachtet werden, dass die Metallisierungsschicht 160 auf der Grundlage der Prinzipien hergestellt werden kann, wie sie zuvor mit Bezug zu der Metallisierungsschicht 170 dargestellt sind. In diesem Falle kann auch die Barrierenschicht 162b so vorgesehen werden, dass sie eine Kupfer/Siliziumverbindung enthält, wodurch dem Metallgebiete 162 ebenfalls bessere Elektromigrationseigenschaften und ein besseres elektrisches Verhalten verliehen werden.
  • Es gilt also: Die vorliegende Erfindung stellt Fertigungstechniken und Hableiterbauelemente bereit, in denen eine Kupfer/Siliziumverbindung als ein effizientes Barrierenmaterial in komplexen Metallisierungssystemen von Halbleiterbauelementen bereitgestellt wird, wodurch die Zeitdauer bis zum Ausfall des Metallisierungssystems erhöht wird, während gleichzeitig ein besseres elektrisches Leistungsverhalten im Vergleich zu konventionellen Tantal/Tantalnitrid-Barrierensystemen erreicht wird.

Claims (5)

  1. Verfahren zur Herstellung eines Metallisierungssystems (150) eines Halbleiterbauelements (100), wobei das Verfahren umfasst: Bilden einer Öffnung (171t, 171v) in einer dielektrischen Schicht (171) einer Metallisierungsschicht (170) des Metallisierungssystems (150), wobei die Öffnung (171t, 171v) innere Oberflächenbereiche (171s) besitzt; Bilden einer siliziumenthaltenden Schicht (172d) auf den inneren Oberflächenbereichen (171s); Bilden einer kupferenthaltenden Schicht (172c) auf der siliziumenthaltenden Schicht (172d); In Gang setzen einer Silizium-Kupferdiffusion durch Behandeln der kupferenthaltenden Schicht (172c) in Anwesenheit eines siliziumenthaltenden Vorstufengases, so dass eine leitende Barrierenschicht (172b) auf den inneren Oberflächenbereichen (171s) gebildet wird, die eine Kupfer/Siliziumverbindung aufweist; und Bilden eines kupferenthaltenden Metalls (172a) auf der leitenden Barrierenschicht (172b).
  2. Verfahren nach Anspruch 1, wobei Bilden der Öffnung (171t, 171v) umfasst: Bilden eines Grabens (171t) und einer Kontaktlochöffnung (171v), die mit dem Graben (171t) in Verbindung steht, wobei die Kontaktlochöffnung (171v) eine Verbindung zu einem Metallgebiet (162) herstellt, das in einer zweiten Metallisierungsschicht (160) ausgebildet ist, die unter der Metallisierungsschicht (170) angeordnet ist.
  3. Verfahren nach Anspruch 1, wobei Behandeln der kupferenthaltenden Schicht (172c) in Anwesenheit des siliziumenthaltenden Vorstufengases ein Einstellen einer Prozessatmosphäre (105) bei einer Temperatur im Bereich von 100° Celsius bis 300° Celsius umfasst.
  4. Verfahren nach Anspruch 1, wobei Behandeln der kupferenthaltenden Schicht (172c) in Anwesenheit des siliziumenthaltenden Vorstufengases ein Einrichten einer Plasmaatmosphäre (105) zum in Gang setzen einer Diffusionsaktivität umfasst.
  5. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer kupferenthaltenden Saatschicht auf der leitenden Barrierenschicht (172b).
DE102010063294.5A 2010-12-16 2010-12-16 Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen Active DE102010063294B4 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE102010063294.5A DE102010063294B4 (de) 2010-12-16 2010-12-16 Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
US13/192,164 US8778795B2 (en) 2010-12-16 2011-07-27 Metallization systems of semiconductor devices comprising a copper/silicon compound as a barrier material
US14/287,993 US20140264877A1 (en) 2010-12-16 2014-05-27 Metallization systems of semiconductor devices comprising a copper/silicon compound as a barrier material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102010063294.5A DE102010063294B4 (de) 2010-12-16 2010-12-16 Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen

Publications (2)

Publication Number Publication Date
DE102010063294A1 DE102010063294A1 (de) 2012-06-21
DE102010063294B4 true DE102010063294B4 (de) 2019-07-11

Family

ID=46233326

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102010063294.5A Active DE102010063294B4 (de) 2010-12-16 2010-12-16 Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen

Country Status (2)

Country Link
US (2) US8778795B2 (de)
DE (1) DE102010063294B4 (de)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9455220B2 (en) * 2014-05-31 2016-09-27 Freescale Semiconductor, Inc. Apparatus and method for placing stressors on interconnects within an integrated circuit device to manage electromigration failures
US9331667B2 (en) * 2014-07-21 2016-05-03 Triquint Semiconductor, Inc. Methods, systems, and apparatuses for temperature compensated surface acoustic wave device
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9466569B2 (en) 2014-11-12 2016-10-11 Freescale Semiconductor, Inc. Though-substrate vias (TSVs) and method therefor
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
US11075113B2 (en) 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Metal capping layer and methods thereof
US11652055B2 (en) * 2021-06-23 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure with hybrid barrier layer

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214731B1 (en) 1998-03-25 2001-04-10 Advanced Micro Devices, Inc. Copper metalization with improved electromigration resistance
US20030214043A1 (en) 2002-05-17 2003-11-20 Toshio Saitoh Semiconductor device
US20070273042A1 (en) 2006-03-30 2007-11-29 Kuhn Kelin J Copper-filled trench contact for transistor performance improvement
DE102006056624A1 (de) 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer selbstjustierten CuSiN-Deckschicht in einem Mikrostrukturbauelement
US7524755B2 (en) 2006-02-22 2009-04-28 Chartered Semiconductor Manufacturing, Ltd. Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US7655555B2 (en) 1999-08-27 2010-02-02 Texas Instruments Incorporated In-situ co-deposition of Si in diffusion barrier material depositions with improved wettability, barrier efficiency, and device reliability
US20100167526A1 (en) 2008-12-31 2010-07-01 Applied Materials, Inc. Method for improving electromigration lifetime of copper interconnection by extended post anneal

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
KR100225686B1 (ko) * 1995-03-20 1999-10-15 모리시다 요이치치 막형성용 재료 및 배선형성방법
US6025264A (en) * 1998-02-09 2000-02-15 United Microelectronics Corp. Fabricating method of a barrier layer
JP2000049116A (ja) * 1998-07-30 2000-02-18 Toshiba Corp 半導体装置及びその製造方法
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US7186648B1 (en) * 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
JP2003045960A (ja) * 2001-08-01 2003-02-14 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2003142485A (ja) * 2001-11-01 2003-05-16 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6656840B2 (en) * 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US7241696B2 (en) * 2002-12-11 2007-07-10 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
US7098537B2 (en) * 2003-11-21 2006-08-29 International Business Machines Corporation Interconnect structure diffusion barrier with high nitrogen content
US7176571B2 (en) * 2004-01-08 2007-02-13 Taiwan Semiconductor Manufacturing Company Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US7704873B1 (en) * 2004-11-03 2010-04-27 Novellus Systems, Inc. Protective self-aligned buffer layers for damascene interconnects
US7215006B2 (en) * 2005-10-07 2007-05-08 International Business Machines Corporation Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
JP2007109736A (ja) * 2005-10-11 2007-04-26 Nec Electronics Corp 半導体装置およびその製造方法
US7557447B2 (en) * 2006-02-06 2009-07-07 Nec Electronics Corporation Semiconductor device and method for manufacturing same
JP5657379B2 (ja) * 2007-04-25 2015-01-21 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung 電子装置の製造方法
JP5291310B2 (ja) * 2007-08-29 2013-09-18 セイコーインスツル株式会社 半導体装置の製造方法
US8107274B2 (en) * 2009-07-30 2012-01-31 Chrong-Jung Lin Variable and reversible resistive element, non-volatile memory device and methods for operating and manufacturing the non-volatile memory device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6214731B1 (en) 1998-03-25 2001-04-10 Advanced Micro Devices, Inc. Copper metalization with improved electromigration resistance
US7655555B2 (en) 1999-08-27 2010-02-02 Texas Instruments Incorporated In-situ co-deposition of Si in diffusion barrier material depositions with improved wettability, barrier efficiency, and device reliability
US20030214043A1 (en) 2002-05-17 2003-11-20 Toshio Saitoh Semiconductor device
US7524755B2 (en) 2006-02-22 2009-04-28 Chartered Semiconductor Manufacturing, Ltd. Entire encapsulation of Cu interconnects using self-aligned CuSiN film
US20070273042A1 (en) 2006-03-30 2007-11-29 Kuhn Kelin J Copper-filled trench contact for transistor performance improvement
DE102006056624A1 (de) 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer selbstjustierten CuSiN-Deckschicht in einem Mikrostrukturbauelement
US20100167526A1 (en) 2008-12-31 2010-07-01 Applied Materials, Inc. Method for improving electromigration lifetime of copper interconnection by extended post anneal

Also Published As

Publication number Publication date
US20140264877A1 (en) 2014-09-18
US20120153480A1 (en) 2012-06-21
US8778795B2 (en) 2014-07-15
DE102010063294A1 (de) 2012-06-21

Similar Documents

Publication Publication Date Title
DE102007004867B4 (de) Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102008049775B4 (de) Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102010002451B4 (de) Verfahren zur Herstellung von Kontaktelementen von Halbleiterbauelementen
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102008016431B4 (de) Metalldeckschicht mit erhöhtem Elektrodenpotential für kupferbasierte Metallgebiete in Halbleiterbauelementen sowie Verfahren zu ihrer Herstellung
DE102005024912A1 (de) Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102008063430A1 (de) Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102005052001B4 (de) Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben
DE102009010844B4 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE102008063417B4 (de) Lokale Silizidierung an Kontaktlochunterseiten in Metallisierungssystemen von Halbleiterbauelementen
DE102006056624B4 (de) Verfahren zur Herstellung einer selbstjustierten CuSiN-Deckschicht in einem Mikrostrukturbauelement
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102008044988A1 (de) Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht

Legal Events

Date Code Title Description
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE