DE102016100766B4 - Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung - Google Patents

Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung Download PDF

Info

Publication number
DE102016100766B4
DE102016100766B4 DE102016100766.8A DE102016100766A DE102016100766B4 DE 102016100766 B4 DE102016100766 B4 DE 102016100766B4 DE 102016100766 A DE102016100766 A DE 102016100766A DE 102016100766 B4 DE102016100766 B4 DE 102016100766B4
Authority
DE
Germany
Prior art keywords
layer
mask layer
photoresist
mask
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102016100766.8A
Other languages
English (en)
Other versions
DE102016100766A1 (de
Inventor
Jung-Hau Shiu
Chung-Chi Ko
Tze-Liang Lee
Wen-Kuo HSIEH
Yu-Yun Peng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016100766A1 publication Critical patent/DE102016100766A1/de
Application granted granted Critical
Publication of DE102016100766B4 publication Critical patent/DE102016100766B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren mit den folgenden Schritten:
Herstellen einer dielektrischen Schicht (26);
Herstellen eines Fotoresists (36) über der dielektrischen Schicht (26);
Herstellen einer ersten Maskenschicht (42) über dem Fotoresist (36);
Herstellen einer zweiten Maskenschicht (44) über der ersten Maskenschicht (42);
Durchführen einer ersten Fotolithografie und einer ersten Ätzung, um eine erste Durchkontaktierungsstruktur (52) in der zweiten Maskenschicht (44) herzustellen, wobei die erste Fotolithografie und die erste Ätzung auf einer Oberseite der ersten Maskenschicht (42) endet;
Durchführen einer zweiten Fotolithografie und einer zweiten Ätzung, um eine zweite Durchkontaktierungsstruktur (60) in der zweiten Maskenschicht (44) herzustellen, wobei die zweite Fotolithografie und die zweite Ätzung auf der Oberseite der ersten Maskenschicht (42) endet;
Ätzen der ersten Maskenschicht (42) unter Verwendung der zweiten Maskenschicht (44) als eine Ätzmaske und
Ätzen des Fotoresists (36) und der dielektrischen Schicht (26), um die erste Durchkontaktierungsstruktur (52) und die zweite Durchkontaktierungsstruktur (60) gleichzeitig in die dielektrische Schicht zu übertragen.

Description

  • Hintergrund der Erfindung
  • Um Strukturen von integrierten Schaltkreisen auf Wafern herzustellen, werden lithografische Verfahren verwendet. Ein typisches lithografisches Verfahren umfasst das Aufbringen eines Fotoresists und das Definieren von Strukturen auf dem Fotoresist. Die Strukturen in dem strukturierten Fotoresist werden in einer lithografischen Maske definiert, und zwar entweder durch die transparenten Teile oder durch die lichtundurchlässigen Teile in der lithografischen Maske. Die Strukturen in dem strukturierten Fotoresist werden dann durch einen Ätzschritt in die darunter befindlichen Strukturen übertragen, wobei das strukturierte Fotoresist als eine Ätzmaske verwendet wird. Nach dem Ätzschritt wird das strukturierte Fotoresist entfernt.
  • Mit der zunehmenden Verkleinerung von integrierten Schaltkreisen stellt der optische Proximity-Effekt ein immer größeres Problem beim Übertragen von Strukturen von der lithografischen Maske in Wafer dar. Wenn zwei getrennte Strukturen zu dicht aneinander sind, kann der optische Proximity-Effekt dazu führen, dass die resultierenden hergestellten Strukturen miteinander kurzgeschlossen werden. Zur Lösung dieses Problems wurde die Methode der Doppelstrukturierung eingeführt, um die Strukturdichte zu verbessern, ohne den optischen Proximity-Effekt hervorzurufen. Bei einer der Methoden der Doppelstrukturierung werden eine Zweifachstrukturierung und eine Zweifachätzung (two-patterning-two-etching; 2P2E) verwendet. Die dicht angeordneten Strukturen werden auf zwei lithografische Masken verteilt, wobei beide lithografische Masken zum Belichten desselben Fotoresists oder von zwei Fotoresists verwendet werden, sodass die dicht angeordneten Strukturen in die gleiche Schicht, wie etwa eine dielektrische Low-k-Schicht, übertragen werden können. In jeder der lithografischen Doppelstrukturierungsmasken werden die Abstände zwischen den Strukturen gegenüber den Abständen zwischen den Strukturen in der andernfalls einzelnen Strukturierungsmaske vergrößert und können, wenn nötig, verdoppelt werden. Die Abstände in den lithografischen Doppelstrukturierungsmasken sind größer als die Schwellenabstände des optischen Proximity-Effekts, und somit wird der optische Proximity-Effekt zumindest verringert oder weitgehend eliminiert.
  • Die JP 2011-100 765 A beschreibt ein Verfahren zur Herstellung einer Halbleiterstruktur, in dem mit Hilfe einer einlagigen Maskenschicht mehrere Gräben in der Halbleiterstruktur ausgebildet werden.
  • Die Erfindung sieht ein Verfahren gemäß Patentanspruch 1, ein Verfahren gemäß Patenanspruch 8 und ein Verfahren gemäß Patentanspruch 16 vor. Ausgestaltungen der Erfindung sind in den abhängigen Ansprüchen angegeben.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Klarheit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • Die 1 bis 13 zeigen Schnittansichten von Zwischenstufen bei der Herstellung von Metallleitungen und darunter befindlichen Durchkontaktierungen gemäß einigen Ausführungsformen.
    • 14 zeigt eine Schnittansicht einer integrierten Schaltkreisstruktur, die zwei Durchkontaktierungen hat, die sich unter derselben Metallleitung befinden und mit dieser verbunden sind, gemäß einigen Ausführungsformen.
    • 15 zeigt einen Prozessablauf zur Herstellung einer integrierten Schaltkreisstruktur, die zwei Durchkontaktierungen hat, die sich unter jeweiligen darüber befindlichen Metallleitungen befinden und mit diesen verbunden sind, gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung verschiedene Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Es wird ein Verfahren zur Mehrfachstrukturierung zum Herstellen von dicht angeordneten Durchkontaktierungen in einer Verbindungsstruktur von integrierten Schaltkreisen gemäß verschiedenen beispielhaften Ausführungsformen zur Verfügung gestellt. Es werden die Zwischenstufen bei der Herstellung der Durchkontaktierungen erläutert. Es werden einige Abwandlungen einiger Ausführungsformen erörtert. In allen Ansichten und beispielhaften Ausführungsformen werden ähnliche Bezugssymbole zum Bezeichnen von ähnlichen Elementen verwendet.
  • Die 1 bis 13 zeigen Schnittansichten von Zwischenstufen bei der Herstellung von Durchkontaktierungen gemäß einigen Ausführungsformen. Die Schritte, die in den 1 bis 13 gezeigt sind, werden auch in dem Prozessablauf 200 schematisch erläutert, der in 15 gezeigt ist. In der nachfolgenden Erörterung werden die Prozessschritte, die in den 1 bis 13 gezeigt sind, unter Bezugnahme auf die Prozessschritte von 15 erörtert.
  • 1 zeigt eine Schnittansicht eines Wafers 10, wobei der dargestellte Teil ein Teil eines Bauelement-Chips ist. Bei einigen Ausführungsformen der vorliegenden Erfindung ist der Wafer 10 ein Bauelement-Wafer mit aktiven Bauelementen, wie etwa Transistoren und/oder Dioden, und gegebenenfalls passiven Bauelementen, wie etwa Kondensatoren, Induktoren, Widerständen und/oder dergleichen.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist der Wafer 10 ein Halbleitersubstrat 12 und Strukturen auf, die auf einer Oberseite des Halbleitersubstrats 12 hergestellt sind. Das Halbleitersubstrat 12 kann kristallines Silicium, kristallines Germanium, Siliciumgermanium und/oder ein III-V-Verbindungshalbleiter sein, wie etwa GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, GaInAsP oder dergleichen. Das Halbleitersubstrat 12 kann auch ein massives Siliciumsubstrat oder ein Silicium-auf-Isolator(SOI)-Substrat sein. In dem Halbleitersubstrat 12 können STI-Bereiche (STI: shallow trench isolation; flache Grabenisolation) (nicht dargestellt) hergestellt werden, um die aktiven Bereiche in dem Halbleitersubstrat 12 zu trennen. Durchkontaktierungen (nicht dargestellt) können so hergestellt werden, dass sie in das Halbleitersubstrat 12 hinein reichen, wobei die Durchkontaktierungen dazu dienen, die Strukturen auf gegenüberliegenden Seiten des Wafers 10 elektrisch miteinander zu verbinden. Auf der Oberseite des Substrats 12 werden aktive Bauelemente 14 hergestellt, die Transistoren sein können.
  • In 1 ist weiterhin eine dielektrische Schicht 16 dargestellt, die nachstehend alternativ als IMD-Schicht (IMD: inter-metal dielectric; Zwischenmetall-Dielektrikum) 16 bezeichnet wird. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die IMD-Schicht 16 aus einem dielektrischen Low-k-Material hergestellt, das eine Dielektrizitätskonstante (k-Wert) hat, die niedriger als etwa 3,0, etwa 2,5 oder noch niedriger ist. Die IMD-Schicht 16 kann Black Diamond (ein eingetragenes Warenzeichen von Applied Materials), ein kohlenstoffhaltiges dielektrisches Low-k-Material, Wasserstoff-Silsesquioxan (HSQ), Methyl-Silsesquioxan (MSQ) oder dergleichen umfassen. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Herstellung der IMD-Schicht 16 das Abscheiden eines porogenhaltigen dielektrischen Materials und das anschließende Durchführen eines Härtungsprozesses, um das Porogen auszutreiben, sodass die verbleibende IMD-Schicht 16 porös ist.
  • In dem IMD 16 werden leitende Strukturen 22 hergestellt. Bei einigen Ausführungsformen sind die leitenden Strukturen 22 Metallleitungen, die Diffusionssperrschichten 18 und ein kupferhaltiges Material 20 über den Diffusionssperrschichten 18 aufweisen. Die Diffusionssperrschichten 18 können Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen umfassen und haben die Funktion, das Eindiffundieren von Kupfer aus dem kupferhaltigen Material 20 in das IMD 16 zu verhindern. Die leitenden Leitungen 22 werden nachstehend als Metallleitungen 22 bezeichnet. Die leitenden Elemente 22 können bei einigen Ausführungsformen eine Single-Damascene-Struktur oder eine Dual-Damascene-Struktur haben und können Kontaktstifte sein.
  • Über der dielektrischen Schicht 16 und den leitenden Leitungen 22 wird eine dielektrische Schicht 24 hergestellt. Die dielektrische Schicht 24 kann als eine Ätzstoppschicht (etch stop layer; ESL) verwendet werden und wird daher in der gesamten Beschreibung als ESL 24 bezeichnet. Die ESL 24 kann ein Nitrid, ein Material auf Silicium-Kohlenstoff-Basis, ein Kohlenstoff-dotiertes Oxid und/oder Kombinationen davon umfassen. Zu den Herstellungsverfahren gehören Plasma-unterstützte chemische Aufdampfung (plasma-enhanced CVD; PECVD) oder andere Verfahren, wie etwa chemische Aufdampfung mit einem Plasma hoher Dichte (high-density plasma CVD; HDPCVD), Atomlagenabscheidung (atomic layer depositon; ALD) und dergleichen. Bei einigen Ausführungsformen dient die dielektrische Schicht 24 auch als eine Diffusionssperrschicht zum Verhindern des Eindiffundierens von unerwünschten Elementen, wie etwa Kupfer, in die nachfolgend hergestellte dielektrische Low-k-Schicht. Die ESL 24 kann Kohlenstoff-dotiertes Oxid (CDO), Siliciumoxid mit eingebautem Kohlenstoff (SiOC) oder Ornithin-Decarboxylase (ODC) umfassen. Die ESL 24 kann auch aus Stickstoff-dotiertem Siliciumcarbid (NDC) hergestellt werden. Die ESL 24 kann eine einzelne Schicht sein oder kann mehr als eine Schicht umfassen.
  • Über der ESL 24 wird eine dielektrische Schicht 26 hergestellt. Bei einigen beispielhaften Ausführungsformen der vorliegenden Erfindung wird die dielektrische Schicht 26 aus einem dielektrischen Low-k-Material hergestellt und wird nachstehend als dielektrische Low-k-Schicht 26 bezeichnet. Die dielektrische Low-k-Schicht 26 kann unter Verwendung eines Materials hergestellt werden, das aus den gleichen in Frage kommenden Materialien wie für die dielektrische Schicht 16 ausgewählt wird. Wenn die Materialien aus den gleichen in Frage kommenden Materialien ausgewählt werden, können die Materialien für die dielektrischen Schichten 16 und 26 gleich oder voneinander verschieden sein.
  • Bei einigen Ausführungsformen werden über der dielektrischen Low-k-Schicht 26 Schichten 28, 30 und 32 hergestellt. Der entsprechende Schritt ist als Schritt 202 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Über der dielektrischen Low-k-Schicht 26 wird eine Antireflexschicht (anti-reflective coating layer; ARL) 28 hergestellt. Die ARL 28 kann eine Stickstoff-freie ARL (nitrogen-free ARL; NFARL) sein, die bei einigen beispielhaften Ausführungsformen aus einem Oxid hergestellt werden kann. Die NFARL kann zum Beispiel Siliciumoxid umfassen, das durch Plasma-unterstützte chemische Aufdampfung (PECVD) hergestellt wird.
  • Über der ARL 28 wird eine Maskenschicht 30 hergestellt. Die Maskenschicht 30 wird nachstehend auch als Hartmaskenschicht 30 bezeichnet. Bei einigen Ausführungsformen weist die Hartmaskenschicht 30 ein oder mehrere Metalle auf, die die Form eines Metallnitrids haben können. Die Hartmaskenschicht 30 kann auch aus einem Nicht-Metallnitrid, wie etwa Siliciumnitrid, einem Oxidnitrid, wie etwa Siliciumoxidnitrid, oder dergleichen hergestellt werden. Außerdem kann über der Hartmaskenschicht 30 eine ARL 32 hergestellt werden. Die ARL 32 kann ebenfalls eine NFARL sein, die aus einem Oxid, wie etwa Siliciumoxid, mittels PECVD hergestellt werden kann.
  • Die ARL 32 und die Maskenschicht 30 werden so strukturiert, dass Gräben 34 entstehen. Bei einigen Ausführungsformen werden die Gräben 34 durch einen Prozess der Zweifachstrukturierung und Zweifachätzung (two-patterning-two-etching; 2P2E) hergestellt, wobei zwei benachbarte Gräben 34 unter Verwendung von verschiedenen lithografischen Prozessen hergestellt werden, sodass benachbarte Gräben 34 dicht aneinander angeordnet werden können, ohne den optischen Proximity-Effekt hervorzurufen.
  • In 2 werden die strukturierte ARL 32 und die strukturierte Maskenschicht 30 als eine Ätzmaske zum Ätzen der ARL 28 und der dielektrischen Low-k-Schicht 26 verwendet. Dadurch reichen die Gräben 34 in die dielektrische Low-k-Schicht 26 hinein. Der entsprechende Schritt ist als Schritt 204 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Das Ätzen wird beendet, wenn die Unterseiten der Gräben 34 auf einem Zwischenniveau zwischen der Oberseite und der Unterseite der dielektrischen Low-k-Schicht 26 sind. Während des Ätzens kann die ARL 32 (1) verbraucht werden, sodass die Maskenschicht 30 als eine obere Schicht zurückbleibt.
  • In 3 wird ein Fotoresist 36 über der Maskenschicht 30 hergestellt, wobei einige Teile des Fotoresists 36 in die Gräben 34 (2) gefüllt werden. Der entsprechende Schritt ist als Schritt 206 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Das Fotoresist 36 hat eine planare Oberseite, sodass die Schichten, die nachfolgend über dem Fotoresist 36 hergestellt werden, planare Schichten sein können und sehr dünn sein können (zum Beispiel mit Dicken von einigen Hundert Ängström) und dabei immer noch konform sind.
  • Anschließend werden Schichten 38 mit einer hohen Ätzselektivität hergestellt, die auch als Maskenschichten 38 bezeichnet werden. Der entsprechende Schritt ist als Schritt 208 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Die Schichten 38 mit hoher Ätzselektivität umfassen mindestens zwei Schichten, die unterschiedliche Eigenschaften haben und somit eine hohe Ätzselektivität haben, wenn ein geeignetes Ätzmittel verwendet wird. Bei einigen Ausführungsformen der vorliegenden Erfindung umfassen die Schichten 38 eine Schicht 40, eine Schicht 42 über der Schicht 40 und eine Schicht 44 über der Schicht 42. Die Schichten 38 umfassen zum Beispiel eine Tieftemperatur(LT)-Oxidschicht 40, eine metall- und/oder nitridhaltige Schicht (wie etwa TiN, AlN oder Al2O3) 42 über der LT-Oxidschicht 40 und eine LT-Oxidschicht 44 über der Schicht 42. Die Schicht 42 kann als eine Hartmaske verwendet werden, und die LT-Oxidschicht 40 kann als eine Ätzstoppschicht bei der Strukturierung der Maskenschicht 42 und/oder als eine Hartmaske beim Ätzen der dielektrischen Low-k-Schicht 26 verwendet werden.
  • Bei alternativen Ausführungsformen umfassen die Schichten 38 die Schichten 40 und 42, aber nicht die Schicht 44. Bei weiteren alternativen Ausführungsformen umfassen die Schichten 38 die Schichten 42 und 44, aber nicht die Schicht 40. Da die Metallnitridschicht 42 Metall enthält, kann sie eine hohe Ätzselektivität in Bezug auf die LT-Oxidschichten 40 und 44 haben, wenn entsprechende Ätzmittel gewählt werden, sodass das Ätzen dazu führen kann, dass eine aufliegende Schicht in den Schichten 38 strukturiert wird, während eine unterliegende Schicht in den Schichten 38 als eine Ätzstoppschicht dient. Auf Grund des Vorhandenseins des Fotoresists 36 werden die Schichten 40, 42 und 44 bei niedrigen Temperaturen hergestellt, um eine Beschädigung des Fotoresists 36 zu vermeiden. Die Temperaturen für die Herstellung der Schichten 40, 42 und 44 können niedriger als etwa 200 °C sein und können in dem Bereich von etwa 75 °C bis etwa 170 °C liegen. Die Schicht 40, die auf dem Fotoresist 36 hergestellt wird, kann durch Atomlagenabscheidung (ALD) hergestellt werden, um die Beschädigung des Fotoresists 36 durch Plasma zu minimieren, aber es können auch andere Verfahren verwendet werden, wie etwa chemische Aufdampfung (CVD), physikalische Aufdampfung (PVD) oder dergleichen. Die Schicht 42 (wie etwa AsTiN) kann durch PVD hergestellt werden. Die Dicke der Schichten 40, 42 und 44 kann in dem Bereich von etwa 200 Å bis etwa 400 Å liegen.
  • Die Materialien für die Schichten 40, 42 und 44 können aus verschiedenen Kombinationen gewählt werden. Nachstehend werden zum Beispiel mehrere Ätzmittel-Gruppen aufgeführt, wobei jede der Gruppen die Ätzmittel umfasst, die zum Ätzen einiger ätzbarer Materialien geeignet sind, wobei auch einige nicht-ätzbare Materialien aufgeführt sind. Somit können die ätzbaren Materialien zum Herstellen einer aufliegenden Schicht in den Schichten 38 verwendet werden, und die nicht-ätzbaren Materialien können zum Herstellen einer unmittelbar darunter liegenden Schicht in den Schichten 38 verwendet werden. Zum Beispiel ist H3PO4 oder HNO3 zum Ätzen eines Metalls (wie etwa Aluminium) oder von SiN geeignet, ist aber nicht zum Ätzen von SiO2, SiN oder eines Fotoresists geeignet. NH4OH oder H2O2 ist zum Ätzen von Aluminium oder Polymeren geeignet, ist aber nicht zum Ätzen von SiO2, Si oder SiN geeignet. Das Ätzen kann auch durch Trockenätzen erfolgen. Metalle können zum Beispiel unter Verwendung von Cl2 geätzt werden, und dielektrische Materialien können unter Verwendung von CxFy geätzt werden, wobei die Durchsätze der Prozessgase so eingestellt werden können, dass die Selektivität beim Ätzen verbessert wird.
  • Die 3 bis 8 zeigen einen Prozess einer Zweifachfotolithografie und einer Zweifachätzung zum Herstellen von Durchkontaktierungsstrukturen. Die 3 bis 5 zeigen die Zwischenstufen bei einem Prozess einer ersten Fotolithografie und einer ersten Ätzung zum Herstellen einer ersten Durchkontaktierungsstruktur. Bei einigen Ausführungsformen der vorliegenden Erfindung wird über den Schichten 38 eine Dreifachschicht hergestellt, die eine untere Schicht (die auch als untenliegende Schicht bekannt ist) 46, eine mittlere Schicht 48 über der unteren Schicht 46 und eine obere Schicht 50 über der mittleren Schicht 48 umfasst. Der entsprechende Schritt ist als Schritt 210 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Bei einigen Ausführungsformen werden die untere Schicht 46 und die obere Schicht 50 aus Fotoresists hergestellt. Die mittlere Schicht 48 kann aus einem anorganischen Material hergestellt werden, das ein Nitrid (wie etwa Siliciumnitrid), ein Oxidnitrid (wie etwa Siliciumoxidnitrid), ein Oxid (wie etwa Siliciumoxid) oder dergleichen sein kann. Die mittlere Schicht 48 hat eine hohe Ätzselektivität in Bezug auf die obere Schicht 50 und die untere Schicht 46, und somit kann die obere Schicht 50 als eine Ätzmaske zum Strukturieren der mittleren Schicht 48 verwendet werden, und die mittlere Schicht 48 kann als eine Ätzmaske zum Strukturieren der unteren Schicht 46 verwendet werden. Die obere Schicht 50 wird so strukturiert, dass eine Öffnung 52 entsteht, die die Struktur einer Durchkontaktierung 70A ( 13) hat, die in der dielektrischen Schicht 26 hergestellt werden soll.
  • Dann wird die mittlere Schicht 48 unter Verwendung der strukturierten oberen Schicht 50 als eine Ätzmaske geätzt, sodass die Struktur der oberen Schicht 50 in die mittlere Schicht 48 übertragen wird. Die resultierende Struktur ist in 4 gezeigt. Während der Strukturierung der mittleren Schicht 48 wird die obere Schicht 50 zumindest teilweise oder aber vollständig verbraucht. Nachdem die mittlere Schicht 48 durchgeätzt worden ist, wird die untere Schicht 46 strukturiert, wobei die mittlere Schicht 48 als eine Ätzmaske verwendet wird. Während der Strukturierung der unteren Schicht 46 wird auch die obere Schicht 50 vollständig verbraucht, wenn sie bei der Strukturierung der mittleren Schicht 48 noch nicht vollständig verbraucht worden ist.
  • Die untere Schicht 46 und die darüber liegende mittlere Schicht 48 werden dann als eine Ätzmaske zum Ätzen der darunter liegenden Schicht 44 verwendet, und dieser Ätzprozess wird als der erste Ätzprozess bezeichnet. Der entsprechende Schritt ist als Schritt 212 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Die Öffnung 52 reicht somit in die Schicht 44 hinein, wobei die Schicht 42 zu der Öffnung 52 freigelegt wird. Da die mittlere Schicht 48 und die Schicht 44 beide aus anorganischen Materialien hergestellt werden und eine geringe Ätzselektivität in Bezug auf einander haben können, kann bei der nachfolgenden Ätzung der Schicht 44 die mittlere Schicht 48 verbraucht werden und die untere Schicht 46 fungiert als die Ätzmaske. Während der Strukturierung der Schicht 44 wird auch die untere Schicht 46 verbraucht, obgleich mit einer geringeren Ätzrate als die mittlere Schicht 48 und die Schicht 44. Daher ist nach Beendigung der Strukturierung der Schicht 44 die Dicke der unteren Schicht 46 geringer.
  • Nach der Ätzung wird die verbleibende untere Schicht 46, die ein Fotoresist umfasst, durch Plasmaeinwirkung entfernt, wobei zum Entfernen der unteren Schicht 46 Sauerstoff verwendet wird. Die resultierende Struktur ist in 5 gezeigt. Wie in den 4 und 5 gezeigt ist, werden die dielektrische Low-k-Schicht 26 und das Fotoresist 36 durch die Entfernung des Fotoresists durch Plasmaeinwirkung nicht beschädigt, da sie durch die Schichten 40 und 42 geschützt sind.
  • Die 6 bis 8 zeigen einen Prozess einer zweiten Fotolithografie und einer zweiten Ätzung bei der Strukturierung der Schicht 44. Bei einigen Ausführungsformen der vorliegenden Erfindung wird über der Schicht 44 eine zweite Dreifachschicht hergestellt, wie in 6 gezeigt ist. Der entsprechende Schritt ist als Schritt 214 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Die zweite Dreifachschicht umfasst eine untere Schicht 54, eine mittlere Schicht 56 über der unteren Schicht 54 und eine obere Schicht 58 über der mittleren Schicht 56. Bei einigen Ausführungsformen werden die untere Schicht 54 und die obere Schicht 58 aus Fotoresists hergestellt. Die mittlere Schicht 56 kann aus einem anorganischen Material hergestellt werden, das ein Nitrid (wie etwa Siliciumnitrid), ein Oxidnitrid (wie etwa Siliciumoxidnitrid), ein Oxid (wie etwa Siliciumoxid) oder dergleichen sein kann. Die mittlere Schicht 56 hat eine hohe Ätzselektivität in Bezug auf die obere Schicht 58 und die untere Schicht 54, und somit kann die obere Schicht 58 als eine Ätzmaske zum Strukturieren der mittleren Schicht 56 verwendet werden, und die mittlere Schicht 56 kann als eine Ätzmaske zum Strukturieren der unteren Schicht 54 verwendet werden. Die obere Schicht 58 wird so strukturiert, dass eine Öffnung 60 entsteht, die auch die Struktur einer Durchkontaktierung 70B (13) hat, die in der dielektrischen Schicht 26 hergestellt werden soll.
  • Dann wird die mittlere Schicht 56 unter Verwendung der strukturierten oberen Schicht 58 als eine Ätzmaske geätzt, sodass die Struktur der oberen Schicht 58 in die mittlere Schicht 56 übertragen wird. Die resultierende Struktur ist in 7 gezeigt. Während der Strukturierung der mittleren Schicht 56 kann auch die obere Schicht 58 verbraucht werden. Nachdem die mittlere Schicht 56 durchgeätzt worden ist, wird die untere Schicht 54 strukturiert, und dann wird die Schicht 44 geätzt. Somit reicht die Öffnung 60 in die Schicht 44 hinein, während die Schicht 42 zu der Öffnung 60 freigelegt wird. Der entsprechende Schritt ist als Schritt 216 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Nach dem Ätzen wird die verbleibende untere Schicht 54 (7), die ein Fotoresist umfasst, durch Plasmaeinwirkung entfernt, wobei zum Entfernen der unteren Schicht 54 Sauerstoff verwendet wird. Die resultierende Struktur ist in 8 gezeigt. Wie in den 7 und 8 gezeigt ist, werden die dielektrische Low-k-Schicht 26 und das Fotoresist 36 durch den Prozess der Entfernung des Fotoresists durch Plasmaeinwirkung nicht beschädigt, da sie durch die Schichten 40 und 42 geschützt sind.
  • Die 9 und 10 zeigen das Übertragen der Durchkontaktierungsstrukturen in die dielektrische Low-k-Schicht 26. Der entsprechende Schritt ist als Schritt 218 in dem Prozessablauf angegeben, der in 15 gezeigt ist. In 9 wird die Schicht 42 (8) als eine Ätzmaske zum Ätzen der darunter liegenden Schicht 40 verwendet. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die Hartmaskenschicht 42 nach der Ätzung entfernt, sodass die strukturierte Schicht 40 zurückbleibt, wie in 9 gezeigt ist. Bei alternativen Ausführungsformen wird nach der Strukturierung der Schicht 40 die Hartmaskenschicht 42 (8) nicht entfernt, wie durch Strichlinien in 9 gezeigt ist.
  • In einem nachfolgenden Schritt, der in 10 gezeigt ist, wird das Fotoresist 36 geätzt, wobei die Schicht 40 (oder die Schicht 42, wenn sie nicht entfernt worden ist) als eine Ätzmaske verwendet wird. Die Ätzung des Fotoresists 36 endet an der Oberseite der dielektrischen Low-k-Schicht 26. Das Fotoresist 36 wird dann als eine Ätzmaske zum Ätzen der dielektrischen Low-k-Schicht 26 verwendet, sodass Durchkontaktierungsöffnungen 64 und 66 in dem unteren Teil der dielektrischen Low-k-Schicht 26 entstehen. Das Ätzen wird so lange durchgeführt, bis die ESL 24 freigelegt ist. Wenn die ESL 24 mehr als eine Schicht umfasst, kann auch die obere Schicht der ESL 24 durchgeätzt werden, und die Ätzung endet an der Unterseite der ESL 24.
  • Nach der Herstellung der Durchkontaktierungsöffnungen 64 und 66 wird das verbleibende Fotoresist 36 durch Plasmaeinwirkung entfernt, zum Beispiel unter Verwendung von Sauerstoff (O2) als ein Prozessgas. Dann wird die Maskenschicht 30 geätzt, sodass die Struktur von 11 entsteht.
  • Bei einigen Ausführungsformen, die in den 3 bis 8 gezeigt sind, bleiben die Strukturen, die in dem Prozess der ersten Fotolithografie und der ersten Ätzung und in dem Prozess der zweiten Fotolithografie und der zweiten Ätzung hergestellt werden, in einer Schicht (Schicht 44, 8) über dem Fotoresist 36 erhalten, statt direkt in der dielektrischen Low-k-Schicht 26 hergestellt zu werden. Daher umfasst die Herstellung der Durchkontaktierungsöffnungen 64 und 66 in der dielektrischen Low-k-Schicht 26 nur einen einzigen Prozess des Entfernens des Fotoresists 36 durch Plasmaeinwirkung. Das ist anders als bei den herkömmlichen 2P2E-Prozessen, bei denen die Herstellung von Durchkontaktierungsöffnungen in jedem der 2P2E-Prozesse ein Ätzen direkt in die dielektrische Low-k-Schicht umfasst, sodass für jeden der 2P2E-Prozesse ein Fotoresist hergestellt werden muss. Dadurch erfordern die herkömmlichen 2P2E-Prozesse die Entfernung von zwei Fotoresists durch Plasmaeinwirkung, und die dielektrische Low-k-Schicht muss zwei Prozessen der Lackentfernung durch Plasmaeinwirkung unterzogen werden. Da durch die Lackentfernung durch Plasmaeinwirkung die dielektrische Low-k-Schicht beschädigt wird, wird gemäß den Ausführungsformen der vorliegenden Erfindung durch Verwenden nur eines Prozesses der Lackentfernung durch Plasmaeinwirkung die Beschädigung der dielektrischen Low-k-Schicht minimiert.
  • In 12 wird die Ätzstoppschicht 24 durchgeätzt, sodass die darunter befindlichen leitenden Leitungen 22 freigelegt werden. 13 zeigt die Herstellung der leitenden Durchkontaktierungen 70 (die 70A und 70B umfassen) in den Durchkontaktierungsöffnungen 64 bzw. 66 (12). In den Gräben 34 (12) werden außerdem leitende Leitungen 68 (die 68A und 68B umfassen) hergestellt. Der entsprechende Schritt ist als Schritt 220 in dem Prozessablauf angegeben, der in 15 gezeigt ist. Die Durchkontaktierungen 70 und die leitenden Leitungen 68 können Deckschichten 72 haben, wie etwa Diffusionssperrschichten, Haftschichten oder dergleichen. Die Deckschichten 72 können aus Titan, Titannidrid, Tantal, Tantalnitrid oder anderen Alternativen hergestellt werden. Ein Innenmaterial 74 der leitenden Leitungen 68 ist ein leitendes Material, wie etwa Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Aluminium oder dergleichen. Bei einigen Ausführungsformen umfasst die Herstellung der Durchkontaktierungen 70 und der leitenden Leitungen 68 das Durchführen einer Schutzabscheidung, um die Deckschicht 72 herzustellen, das Abscheiden einer dünnen Seed-Schicht aus Kupfer oder einer Kupferlegierung und das Füllen der übrigen Durchkontaktierungsöffnungen 64 und 66 und der Gräben 34 mit dem Metall 74 zum Beispiel durch Elektroplattierung, stromlose Plattierung, Abscheidung oder dergleichen. Eine Planarisierung, wie etwa eine chemisch-mechanische Planarisierung (CMP) kann durchgeführt werden, um die Oberfläche der leitenden Leitungen 68 zu ebnen und um überschüssige leitende Materialien von der Oberseite der dielektrischen Schicht 26 zu entfernen. In nachfolgenden Schritten wird eine dielektrische ESL-Schicht 76 hergestellt, und es können weitere dielektrische Low-k-Schichten und Metallleitungen und Durchkontaktierungen (nicht dargestellt) hergestellt werden.
  • Bei einigen Ausführungsformen können die erörterten Prozessschritte für Prozesse der Dreifachfotolithografie und Dreifachätzung verwendet werden. Bei diesen Ausführungsformen können eine dritte Fotolithografie und eine dritte Ätzung durchgeführt werden, um die Struktur einer dritten Durchkontaktierung in der Schicht 44 herzustellen, wobei die dritte Struktur gleichzeitig mit den Öffnungen 52 und 60 nach unten in die dielektrische Low-k-Schicht 26 übertragen wird (8). Die dritte Fotolithografie und die dritte Ätzung können zwischen den Schritt, der in 8 gezeigt ist, und den Schritt, der in 9 gezeigt ist, eingefügt werden. Die Prozessschritte der dritten Fotolithografie und der dritten Ätzung sind den Schritten ähnlich, die in den 6 bis 8 gezeigt sind, und werden daher hier nicht wiederholt.
  • Die Prozessschritte, die in den 1 bis 13 gezeigt sind, zeigen die Herstellung von zwei Durchkontaktierungen, die jeweils mit ihren darüber befindlichen Metallleitungen verbunden sind. Die gleichen Prozessschritte können auch zum Herstellen von Durchkontaktierungen verwendet werden, die sich direkt darunter befinden und mit der gleichen darüber befindlichen Metallleitung verbunden sind. Die Prozessschritte werden gleichzeitig ausgeführt und sind die gleichen Prozessschritte, die in den 1 bis 13 gezeigt sind, ohne zusätzlich weitere Prozessschritte zu verwenden. Zum Beispiel zeigt 14 eine Struktur mit Durchkontaktierungen 174 und 274, die unter Verwendung von 2P2E-Prozessen hergestellt werden. Die Durchkontaktierungen 174 und 274 werden unter einer darüber befindlichen leitenden Leitung 168 angeordnet und werden mit dieser verbunden. Darüber hinaus wird die Struktur der Durchkontaktierung 174 gleichzeitig mit der Struktur der Durchkontaktierung 70A von 13 und mit den gleichen Prozessschritten 3 bis 5 wie diese definiert, und die Struktur der Durchkontaktierung 274 wird gleichzeitig mit der Struktur der Durchkontaktierung 70B von 13 und mit den gleichen Prozessschritten 6 bis 8 wie diese definiert.
  • Die Ausführungsformen der vorliegenden Erfindung haben mehrere Vorzüge. Bei einigen Ausführungsformen der vorliegenden Erfindung wird eine Maskenschicht zum Beibehalten der Strukturen verwendet, die in 2P2E-Prozessen (oder in 3P3E-Prozessen) hergestellt werden. Die Strukturen werden dann gleichzeitig in die dielektrische Low-k-Schicht übertragen. Daher ist unabhängig davon, wie viele Fotolithografie- und Ätzschritte verwendet werden, an der Herstellung der Durchkontaktierungen und Metallleitungen nur eine Fotoresistschicht beteiligt, deren Entfernung durch Plasmaeinwirkung die dielektrische Low-k-Schicht beschädigen kann. Die Entfernung des Fotoresists in dem 2P2E-Prozess führt nicht zu einer Beschädigung der dielektrischen Low-k-Schicht, da die dielektrische Low-k-Schicht durch die darüber liegenden Fotoresist- und Maskenschichten geschützt ist. Darüber hinaus haben durch die 2P2E-Prozesse die Ecken, an denen sich die Durchkontaktierungen mit der/den darüber befindlichen Metallleitung(en) vereinen, scharfe Profile, statt nachteilig abgerundet zu sein.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Herstellen einer dielektrischen Schicht; Herstellen eines Fotoresists über der dielektrischen Schicht; Herstellen einer ersten Maskenschicht über dem Fotoresist; und Herstellen einer zweiten Maskenschicht über der ersten Maskenschicht. Ein Prozess einer ersten Fotolithografie und einer ersten Ätzung wird durchgeführt, um eine erste Durchkontaktierungsstruktur in der zweiten Maskenschicht herzustellen, wobei der Prozess der ersten Fotolithografie und der ersten Ätzung auf einer Oberseite der ersten Maskenschicht endet. Ein Prozess einer zweiten Fotolithografie und einer zweiten Ätzung wird durchgeführt, um eine zweite Durchkontaktierungsstruktur in der zweiten Maskenschicht herzustellen, wobei der Prozess der zweiten Fotolithografie und der zweiten Ätzung auf der Oberseite der ersten Maskenschicht endet. Die erste Maskenschicht wird unter Verwendung der zweiten Maskenschicht als eine Ätzmaske geätzt. Das Fotoresist und die dielektrische Schicht werden so geätzt, dass die erste Durchkontaktierungsstruktur und die zweite Durchkontaktierungsstruktur gleichzeitig in die dielektrische Schicht übertragen werden.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Herstellen einer dielektrischen Low-k-Schicht über einem Substrat; Ätzen der dielektrischen Low-k-Schicht so, dass ein Graben entsteht; Herstellen einer ersten Maskenschicht über der dielektrischen Low-k-Schicht; und Herstellen einer zweiten Maskenschicht über der ersten Maskenschicht. Das Verfahren weist weiterhin die folgenden Schritte auf: Herstellen einer ersten Durchkontaktierungsstruktur in der zweiten Maskenschicht in einem ersten Strukturierungsschritt; und Herstellen einer zweiten Durchkontaktierungsstruktur in der zweiten Maskenschicht in einem zweiten Strukturierungsschritt. Die erste Maskenschicht wird unter Verwendung der zweiten Maskenschicht als eine Ätzmaske geätzt, um die erste Durchkontaktierungsstruktur und die zweite Durchkontaktierungsstruktur gleichzeitig in die erste Maskenschicht zu übertragen. Die dielektrische Low-k-Schicht wird unter Verwendung der ersten Maskenschicht als eine Ätzmaske so geätzt, dass eine erste Durchkontaktierungsöffnung und eine zweite Durchkontaktierungsöffnung in der dielektrischen Low-k-Schicht entstehen.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Herstellen einer dielektrischen Low-k-Schicht über einem Halbleitersubstrat; Ätzen der dielektrischen Low-k-Schicht so, dass ein erster Graben und ein zweiter Graben entstehen; Aufbringen eines Fotoresists, das einen ersten Teil, der den ersten Graben füllt, und einen zweiten Teil hat, der den zweiten Graben füllt; Herstellen einer ersten Maskenschicht, die das Fotoresist bedeckt; und Herstellen einer zweiten Maskenschicht über der ersten Maskenschicht. Die erste Maskenschicht ist eine planare Schutzschicht. In der zweiten Maskenschicht werden eine erste Durchkontaktierungsöffnung und eine zweite Durchkontaktierungsöffnung unter Verwendung von getrennten Prozessschritten hergestellt. Wenn die erste Durchkontaktierungsöffnung und die zweite Durchkontaktierungsöffnung hergestellt werden, wird das Fotoresist vollständig von der ersten Maskenschicht bedeckt. Die erste Maskenschicht wird so geätzt, dass die erste Durchkontaktierungsöffnung und die zweite Durchkontaktierungsöffnung in die erste Maskenschicht hinein reichen. Die erste Durchkontaktierungsöffnung und die zweite Durchkontaktierungsöffnung werden so erweitert, dass sie in den ersten Teil bzw. den zweiten Teil des Fotoresists hinein reichen. Die dielektrische Low-k-Schicht wird unter Verwendung des Fotoresists als eine Ätzmaske so geätzt, dass eine erste Durchkontaktierungsöffnung bzw. eine zweite Durchkontaktierungsöffnung in der dielektrischen Low-k-Schicht entsteht.

Claims (20)

  1. Verfahren mit den folgenden Schritten: Herstellen einer dielektrischen Schicht (26); Herstellen eines Fotoresists (36) über der dielektrischen Schicht (26); Herstellen einer ersten Maskenschicht (42) über dem Fotoresist (36); Herstellen einer zweiten Maskenschicht (44) über der ersten Maskenschicht (42); Durchführen einer ersten Fotolithografie und einer ersten Ätzung, um eine erste Durchkontaktierungsstruktur (52) in der zweiten Maskenschicht (44) herzustellen, wobei die erste Fotolithografie und die erste Ätzung auf einer Oberseite der ersten Maskenschicht (42) endet; Durchführen einer zweiten Fotolithografie und einer zweiten Ätzung, um eine zweite Durchkontaktierungsstruktur (60) in der zweiten Maskenschicht (44) herzustellen, wobei die zweite Fotolithografie und die zweite Ätzung auf der Oberseite der ersten Maskenschicht (42) endet; Ätzen der ersten Maskenschicht (42) unter Verwendung der zweiten Maskenschicht (44) als eine Ätzmaske und Ätzen des Fotoresists (36) und der dielektrischen Schicht (26), um die erste Durchkontaktierungsstruktur (52) und die zweite Durchkontaktierungsstruktur (60) gleichzeitig in die dielektrische Schicht zu übertragen.
  2. Verfahren nach Anspruch 1, wobei die dielektrische Schicht (26) unter Verwendung des geätzten Fotoresists (36) als eine Ätzmaske geätzt wird.
  3. Verfahren nach Anspruch 1 oder 2, das weiterhin das Herstellen eines Grabens in der dielektrischen Schicht (26) aufweist, wobei das Fotoresist (36) den Graben füllt und die erste Durchkontaktierungsstruktur (52) als eine Durchkontaktierungsöffnung (64) übertragen wird, die sich unter dem Graben befindet und mit diesem verbunden ist.
  4. Verfahren nach Anspruch 3, das weiterhin das Füllen des Grabens und der Durchkontaktierungsöffnung (64) mit einem leitenden Material (74) zum Herstellen einer leitenden Leitung bzw. einer Durchkontaktierung umfasst.
  5. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin die folgenden Schritte aufweist: Herstellen einer dritten Maskenschicht (40) unter der ersten Maskenschicht (42), wobei die Ätzung der ersten Maskenschicht (42) auf einer Oberseite der dritten Maskenschicht (40) endet; und Ätzen der dritten Maskenschicht (40) unter Verwendung der ersten Maskenschicht (42) als eine Ätzmaske.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei die erste Fotolithografie und die erste Ätzung und die zweite Fotolithografie und die zweite Ätzung jeweils unter Verwendung einer Dreifachschicht durchgeführt werden.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Fotoresist (36) eine planare Oberseite hat und die erste Maskenschicht (42) und die zweite Maskenschicht (44) planare Schichten sind.
  8. Verfahren mit den folgenden Schritten: Herstellen einer dielektrischen Low-k-Schicht (26) über einem Substrat (12); Ätzen der dielektrischen Low-k-Schicht so (26), dass ein Graben entsteht; Herstellen einer ersten Maskenschicht (42) über der dielektrischen Low-k-Schicht (26); Herstellen einer zweiten Maskenschicht (44) über der ersten Maskenschicht (42); Herstellen einer ersten Durchkontaktierungsstruktur (52) in der zweiten Maskenschicht (44) in einem ersten Strukturierungsschritt; Herstellen einer zweiten Durchkontaktierungsstruktur (60) in der zweiten Maskenschicht (44) in einem zweiten Strukturierungsschritt; Ätzen der ersten Maskenschicht (42) unter Verwendung der zweiten Maskenschicht (44) als eine Ätzmaske, um die erste Durchkontaktierungsstruktur (52) und die zweite Durchkontaktierungsstruktur (60) gleichzeitig in die erste Maskenschicht zu übertragen; und Ätzen der dielektrischen Low-k-Schicht (26) unter Verwendung der ersten Maskenschicht (42) als eine Ätzmaske so, dass eine erste Durchkontaktierungsöffnung (64) und eine zweite Durchkontaktierungsöffnung (66) in der dielektrischen Low-k-Schicht (26) entstehen.
  9. Verfahren nach Anspruch 8; das weiterhin das Herstellen eines Fotoresists (36) über der dielektrischen Low-k-Schicht (26) aufweist, wobei das Fotoresist (36) den Graben füllt und sich die erste Maskenschicht (42) über dem Fotoresist befindet.
  10. Verfahren nach Anspruch 8 oder 9, wobei der Graben eine Tiefe auf einem Zwischenniveau zwischen einer Oberseite und einer Unterseite der dielektrischen Low-k-Schicht (26) hat.
  11. Verfahren nach einem der Ansprüche 8 bis 10, wobei die erste Maskenschicht (42) ein Metall aufweist und die zweite Maskenschicht (44) Siliciumoxid aufweist.
  12. Verfahren nach einem der Ansprüche 8 bis 11, das weiterhin eine zusätzliche Siliciumoxidschicht unter der ersten Maskenschicht (42) aufweist.
  13. Verfahren nach einem der Ansprüche 8 bis 12, wobei der erste Strukturierungsschritt und der zweite Strukturierungsschritt jeweils unter Verwendung einer Dreifachschicht ausgeführt werden, die zwei Fotoresists umfasst.
  14. Verfahren nach einem der Ansprüche 8 bis 13, das weiterhin das Füllen des Grabens, der ersten Durchkontaktierungsöffnung (64) und der zweiten Durchkontaktierungsöffnung (66) aufweist, um eine leitende Leitung, eine erste Durchkontaktierung bzw. eine zweite Durchkontaktierung herzustellen.
  15. Verfahren nach Anspruch 14, wobei es während des gesamten Zeitraums zwischen der Herstellung des Grabens und der Herstellung der leitenden Leitung nur einen Schritt des Entfernens des Fotoresists (36) durch Plasmaeinwirkung gibt, der ausgeführt wird, wenn ein Teil der dielektrischen Low-k-Schicht (26) freigelegt wird, und kein weiterer Schritt des Entfernens des Fotoresists (36) durch Plasmaeinwirkung ausgeführt wird, wenn die dielektrische Low-k-Schicht (26) freigelegt wird.
  16. Verfahren mit den folgenden Schritten: Herstellen einer dielektrischen Low-k-Schicht (26) über einem Halbleitersubstrat (12); Ätzen der dielektrischen Low-k-Schicht (26) so, dass ein erster Graben und ein zweiter Graben entstehen; Aufbringen eines Fotoresists, (36) das einen ersten Teil, der den ersten Graben füllt, und einen zweiten Teil hat, der den zweiten Graben füllt; Herstellen einer ersten Maskenschicht (42), die das Fotoresist (36) bedeckt, wobei die erste Maskenschicht (42) eine planare Schutzschicht ist; Herstellen einer zweiten Maskenschicht (44) über der ersten Maskenschicht (42); Herstellen einer ersten Durchkontaktierungsöffnung (52) und einer zweiten Durchkontaktierungsöffnung (60) in der zweiten Maskenschicht (44) unter Verwendung von getrennten Prozessschritten, wobei bei der Herstellung der ersten Durchkontaktierungsöffnung (50) und der zweiten Durchkontaktierungsöffnung (60) das Fotoresist (36) vollständig von der ersten Maskenschicht bedeckt wird; Ätzen der ersten Maskenschicht (42), um die erste Durchkontaktierungsöffnung (52) und die zweite Durchkontaktierungsöffnung (60) so zu erweitern, dass sie in die erste Maskenschicht (42) hinein reichen; Erweitern der ersten Durchkontaktierungsöffnung (52) und der zweiten Durchkontaktierungsöffnung (60) so, dass sie in den ersten Teil bzw. den zweiten Teil des Fotoresists (36) hinein reichen; und Ätzen der dielektrischen Low-k-Schicht (26) unter Verwendung des Fotoresists (36) als eine Ätzmaske so, dass eine erste Durchkontaktierungsöffnung (64) bzw. eine zweite Durchkontaktierungsöffnung (66) in der dielektrischen Low-k-Schicht (26) entsteht.
  17. Verfahren nach Anspruch 16, das weiterhin das gleichzeitige Entfernen des ersten Teils und des zweiten Teils des Fotoresists (36) aufweist.
  18. Verfahren nach Anspruch 16 oder 17, das weiterhin das Füllen des ersten Grabens und des zweiten Grabens zum Herstellen einer ersten Metallleitung bzw. einer zweiten Metallleitung aufweist.
  19. Verfahren nach einem der Ansprüche 16 bis 18, das weiterhin das Füllen der ersten Durchkontaktierungsöffnung (64) und der zweiten Durchkontaktierungsöffnung (66) zum Herstellen einer ersten Durchkontaktierung bzw. einer zweiten Durchkontaktierung aufweist.
  20. Verfahren nach einem der Ansprüche 16 bis 19, das weiterhin die folgenden Schritte aufweist: Herstellen einer dritten Maskenschicht (40) unter der ersten Maskenschicht (42) und Ätzen der dritten Maskenschicht, um die erste Durchkontaktierungsöffnung (64) und die zweite Durchkontaktierungsöffnung (66) so zu erweitern, dass sie in die dritte Maskenschicht (40) hinein reichen, wobei die erste Durchkontaktierungsöffnung (64) und die zweite Durchkontaktierungsöffnung (66) unter Verwendung der dritten Maskenschicht (40) als eine Ätzmaske so erweitert werden, dass sie in das Fotoresist (36) hinein reichen.
DE102016100766.8A 2016-01-11 2016-01-19 Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung Active DE102016100766B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/992,515 US9412648B1 (en) 2016-01-11 2016-01-11 Via patterning using multiple photo multiple etch
US14/992,515 2016-01-11

Publications (2)

Publication Number Publication Date
DE102016100766A1 DE102016100766A1 (de) 2017-07-13
DE102016100766B4 true DE102016100766B4 (de) 2019-02-07

Family

ID=56556009

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016100766.8A Active DE102016100766B4 (de) 2016-01-11 2016-01-19 Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung

Country Status (5)

Country Link
US (5) US9412648B1 (de)
KR (1) KR101910238B1 (de)
CN (1) CN107017154B (de)
DE (1) DE102016100766B4 (de)
TW (1) TWI603382B (de)

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9412648B1 (en) 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN108122820B (zh) 2016-11-29 2020-06-02 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10522394B2 (en) * 2017-09-25 2019-12-31 Marvell World Trade Ltd. Method of creating aligned vias in ultra-high density integrated circuits
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107799401A (zh) * 2017-10-20 2018-03-13 上海华力微电子有限公司 一种增加高深宽比层次光刻工艺窗口的方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR102451171B1 (ko) 2018-01-25 2022-10-06 삼성전자주식회사 반도체 소자
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10515803B1 (en) * 2018-07-12 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple layer scheme patterning process
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20200085111A (ko) * 2019-01-04 2020-07-14 삼성전자주식회사 반도체 장치
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11127825B2 (en) 2019-03-22 2021-09-21 International Business Machines Corporation Middle-of-line contacts with varying contact area providing reduced contact resistance
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US10847417B1 (en) * 2019-08-21 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures in semiconductor fabrication
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11205590B2 (en) 2019-09-21 2021-12-21 International Business Machines Corporation Self-aligned contacts for MOL
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
TWI751819B (zh) * 2020-12-02 2022-01-01 華邦電子股份有限公司 半導體裝置之製造方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11908731B2 (en) * 2021-05-13 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Via-first self-aligned interconnect formation process
US11929281B2 (en) * 2021-06-17 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing oxidation by etching sacrificial and protection layer separately
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011100765A (ja) 2009-11-04 2011-05-19 Fujitsu Semiconductor Ltd 半導体素子の製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6259680B1 (en) * 1997-10-01 2001-07-10 Adtran, Inc. Method and apparatus for echo cancellation
TW483104B (en) * 2001-01-10 2002-04-11 Macronix Int Co Ltd Dual damascene manufacturing method using photoresist top surface image method to improve trench first
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2006024811A (ja) 2004-07-09 2006-01-26 Sony Corp 半導体装置の製造方法
US7547584B2 (en) * 2005-05-27 2009-06-16 United Microelectronics Corp. Method of reducing charging damage to integrated circuits during semiconductor manufacturing
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US8298935B2 (en) * 2010-11-22 2012-10-30 United Microelectronics Corp. Dual damascene process
US8916337B2 (en) 2012-02-22 2014-12-23 International Business Machines Corporation Dual hard mask lithography process
CN104124203B (zh) * 2013-04-28 2017-11-03 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
CN104216233B (zh) * 2013-06-05 2016-08-10 中芯国际集成电路制造(上海)有限公司 曝光方法
US9412648B1 (en) 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011100765A (ja) 2009-11-04 2011-05-19 Fujitsu Semiconductor Ltd 半導体素子の製造方法

Also Published As

Publication number Publication date
CN107017154B (zh) 2019-11-01
US20190096752A1 (en) 2019-03-28
US10340178B2 (en) 2019-07-02
US10510584B2 (en) 2019-12-17
DE102016100766A1 (de) 2017-07-13
CN107017154A (zh) 2017-08-04
US20190326164A1 (en) 2019-10-24
US20170200636A1 (en) 2017-07-13
US10141220B2 (en) 2018-11-27
TW201737312A (zh) 2017-10-16
KR101910238B1 (ko) 2018-10-19
US9412648B1 (en) 2016-08-09
TWI603382B (zh) 2017-10-21
US9754818B2 (en) 2017-09-05
US20170365508A1 (en) 2017-12-21
KR20170083943A (ko) 2017-07-19

Similar Documents

Publication Publication Date Title
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102010064289B4 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102017127530A1 (de) Verbindungsstruktur und Verfahren
DE102017128235A1 (de) Strukturierungsverfahren für ein halbleiterbauelement und daraus resultierende strukturen
DE102005020060B4 (de) Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102013103976B4 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE102008016425A1 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102014111780A1 (de) Leitende struktur und verfahren zum ausbilden
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE102005020132A1 (de) Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102008049727A1 (de) Kontaktelemente und Kontaktdurchführungen eines Halbleiterbauelements, die durch eine Hartmaske und Doppelbelichtung hergestellt sind
DE102014118991A1 (de) Verbindungsstruktur für Halbleitervorrichtungen
DE102013112137A1 (de) Verfahren zum Verarbeiten eines Dies
DE102019130124A1 (de) Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
DE10046915A1 (de) Halbleitervorrichtung und Verfahren zum Herstellen derselben
DE102008045036B4 (de) Verringern kritischer Abmessungen von Kontaktdurchführungen und Kontakten über der Bauteilebene von Halbleiterbauelementen
DE102021114103A1 (de) Metallische hartmasken zum reduzieren der leitungskrümmung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final