CN107017154B - 使用多重光刻多重蚀刻的通孔图案化 - Google Patents

使用多重光刻多重蚀刻的通孔图案化 Download PDF

Info

Publication number
CN107017154B
CN107017154B CN201710015006.XA CN201710015006A CN107017154B CN 107017154 B CN107017154 B CN 107017154B CN 201710015006 A CN201710015006 A CN 201710015006A CN 107017154 B CN107017154 B CN 107017154B
Authority
CN
China
Prior art keywords
layer
mask layer
etching
photoresist
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710015006.XA
Other languages
English (en)
Other versions
CN107017154A (zh
Inventor
许仲豪
柯忠祁
李资良
谢文国
彭羽筠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN107017154A publication Critical patent/CN107017154A/zh
Application granted granted Critical
Publication of CN107017154B publication Critical patent/CN107017154B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Abstract

一种方法包括形成介电层,在介电层上方形成光刻胶,在光刻胶上方形成第一掩模层,以及在第一掩模层上方形成第二掩模层。执行第一光刻第一蚀刻以在第二掩模层中形成第一通孔图案,其中,第一光刻第一蚀刻停止在第一掩模层的顶面上。执行第二光刻第二蚀刻以在第二掩模层中形成第二通孔图案,其中,第二光刻第二蚀刻停止在第一掩模层的顶面上。使用第二掩模层作为蚀刻掩模以蚀刻第一掩模层。蚀刻光刻胶和介电层以同时将第一通孔图案和第二通孔图案转印至介电层内。本发明实施例涉及使用多重光刻多重蚀刻的通孔图案化的方法。

Description

使用多重光刻多重蚀刻的通孔图案化
技术领域
本发明实施例涉及使用多重光刻多重蚀刻的通孔图案化的方法。
背景技术
为了在晶圆上形成集成电路的部件,使用光刻工艺。典型的光刻工艺涉及施加光刻胶和在光刻胶上限定图案。在光刻掩模中限定图案化的光刻胶中的图案,并且通过光刻掩模中的透明部分或不透明部分限定。然后,将图案化的光刻胶中的图案通过蚀刻步骤转印至下面的部件,其中,图案化的光刻胶用作蚀刻掩模。在蚀刻步骤之后,去除图案化的光刻胶。
通过集成电路日渐按比例缩小,光学邻近效应对从光刻胶转印图案至晶圆带来越来越大的问题。当两个分隔开的部件彼此太接近时,光学邻近效应可能引起形成的部件彼此之间的短路。为了解决这样的问题,双重图案化技术被引入以增加部件密度而不引起光学邻近效应。双重图案化技术中的一种使用双重图案化双重蚀刻(2P2E)。靠近的部件被分成两个光刻掩模,两个光刻掩模用于暴露出同一光刻胶或两个光刻胶,以使靠近的图案可以被转印至诸如低k介电层的同一层。在双重图案化光刻掩模的每个中,部件之间的距离增加超过单一图案化掩模中的部件之间的距离,且当需要时可以部分地加倍。双重图案化光刻掩模中的距离大于光学邻近效应的阈值距离,并且因此阈值距离至少被减小或基本上消除。
发明内容
根据本发明的一个实施例,提供了一种使用多重光刻多重蚀刻的通孔图案化的方法,包括:形成介电层;在所述介电层上方形成光刻胶;在所述光刻胶上方形成第一掩模层;在所述第一掩模层上方形成第二掩模层;执行第一光刻第一蚀刻以在所述第二掩模层中形成第一通孔图案,其中,所述第一光刻第一蚀刻停止在所述第一掩模层的顶面上;执行第二光刻第二蚀刻以在所述第二掩模层中形成第二通孔图案,其中,所述第二光刻第二蚀刻停止在所述第一掩模层的所述顶面上;使用所述第二掩模层作为蚀刻掩模蚀刻所述第一掩模层;以及蚀刻所述光刻胶和所述介电层以同时将所述第一通孔图案和所述第二通孔图案转印至所述介电层内。
根据本发明的另一实施例,还提供了一种使用多重光刻多重蚀刻的通孔图案化的方法,包括:在衬底上方形成低k介电层;蚀刻所述低k介电层以形成沟槽;在所述低k介电层上方形成第一掩模层;在所述第一掩模层上方形成第二掩模层;在第一图案化步骤中,在所述第二掩模层中形成第一通孔图案;在第二图案化步骤中,在所述第二掩模层中形成第二通孔图案;使用第二掩模层作为蚀刻掩模蚀刻所述第一掩模层以同时将所述第一通孔图案和所述第二通孔图案转印至所述第一掩模层内;以及使用所述第一掩模层作为蚀刻掩模蚀刻所述低k介电层以在所述低k介电层中形成第一通孔开口和第二通孔开口。
根据本发明的又一实施例,还提供了一种使用多重光刻多重蚀刻的通孔图案化的方法,包括:在半导体衬底上方形成低k介电层;蚀刻所述低k介电层以形成第一沟槽和第二沟槽;施加具有填充所述第一沟槽的第一部分和填充所述第二沟槽的第二部分的光刻胶;形成覆盖所述光刻胶的第一掩模层,其中,所述第一掩模层是平坦的毯式层;在所述第一掩模层上面形成第二掩模层;使用分开的工艺步骤在所述第二掩模层中形成第一通孔开口和第二通孔开口,其中,当形成所述第一通孔开口和所述第二通孔开口时,所述光刻胶被所述第一掩模层完全地覆盖;蚀刻所述第一掩模层以将所述第一通孔开口和所述第二通孔开口延伸至所述第一掩模层内;所述第一通孔开口和所述第二通孔开口分别地延伸至所述光刻胶的所述第一部分和所述第二部分内;以及使用所述光刻胶作为蚀刻掩模蚀刻所述低k介电层以在所述低k介电层中分别形成第一通孔开口和第二通孔开口。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本发明的实施例。应该强调的是,根据工业中的标准实践,对各种部件没有按比例绘制并且仅仅用于说明的目的。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或缩小。
图1至图13示出了根据一些实施例的金属线和下面的通孔的形成中的中间阶段的截面图。
图14示出了根据一些实施例的包括下面的且连接至同一金属线的两个通孔的集成电路结构的截面图。
图15示出了根据一些实施例的用于形成包括下面的且连接至上面对应的金属线的两个通孔的集成电路结构的工艺流程。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件形成为直接接触的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以便于描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而在此使用的空间相对描述符可以同样地作相应的解释。
根据各个示例性实施例提供了用于在集成电路的互连结构中形成靠近设置的通孔的多重图案化方法。示出了形成通孔的中间阶段。讨论了一些实施例的一些变化。贯穿各个视图和说明性实施例,相同的参考标号用于指定相同的元件。
图1至图13示出了根据一些实施例的通孔形成的中间阶段的截面图。图1至图13中示出的步骤也在图15中示出的工艺流程200中示意性地示出。在随后的讨论中,参照图15中的工艺步骤讨论了图1至图13中示出的工艺步骤。
图1示出了晶圆10的截面图,其中示出的部分是器件管芯的一部分。根据本发明的一些实施例,晶圆10是包括诸如晶体管和/或二极管的有源器件以及诸如电容器、电感器、电阻器等的可能的无源器件的器件晶圆。
根据本发明的一些实施例,晶圆10包括半导体衬底12和在半导体衬底12的顶面处形成的部件。半导体衬底12可以包括晶体硅,晶体锗,硅锗和/或诸如GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP等的III-V族化合物半导体。半导体衬底12还可以是块状硅衬底或绝缘体上硅(SOI)衬底。在半导体衬底12中可以形成浅沟槽隔离(STI)区域(未示出)以隔离半导体衬底12中的有源区域。尽管未示出,可以形成贯通孔以延伸至半导体衬底12内,其中,使用贯通孔以电互连位于晶圆10的相对两侧上的部件。其中可以包括晶体管的有源器件14形成在衬底12的顶面处。
图1中还示出了介电层16,其在下文中可选地称为金属间介电(IMD)层16。根据本发明的一些实施例,IMD层16由介电常数(k值)低于约3.0、低于约2.5或甚至更低的低k介电材料形成。IMD层16可以是由Black Diamond(应用材料公司的注册商标)、含碳低k介电材料、氢倍半硅氧烷(HSQ)、甲基倍半硅氧烷(MSQ)等形成的。根据本发明的一些实施例,IMD层16的形成包括沉积含致孔剂的介电材料以及然后实施固化工艺以驱除致孔剂,并且因此剩余的IMD层16是多孔的。
在IMD 16中形成导电部件22。根据一些实施例,导电部件22是包括扩散阻挡层18和位于扩散阻挡层18上方的含铜材料20的金属线。扩散阻挡层18可以包括钛、氮化钛、钽、氮化钽等,并且具有防止含铜材料20中的铜扩散到IMD 16内的功能。导电线22在下文中称为金属线22。导电部件22可具有单镶嵌结构、双镶嵌结构,且在一些实施例中可以是接触插塞。
在介电层16和导电线22上方形成介电层24。介电层24可用作蚀刻停止层(ESL),并且因此贯穿说明书称为ESL 24。ESL 24可包括氮化物、基于硅碳的材料、碳掺杂的氧化物和/或它们的组合。形成方法包括等离子体增强化学汽相沉积(PECVD)或诸如高密度等离子体CVD(HDPCVD)、原子层CVD(ALCVD)等的其它方法。在一些实施例中,介电层24还用作用于防止诸如铜的不期望的元素扩散至随后形成的低k介电层的扩散阻挡层。ESL 24可包括碳掺杂的氧化物(CDO)、结合有碳的氧化硅(SiOC)或鸟氨酸脱羧酶(ODC)。ESL 24还可以是由氮掺杂的碳化硅(NDC)。ESL 24可以是单层或可以包括一个以上的层。
在ESL 24上方形成介电层26。根据本发明的一些示例性实施例,介电层26是由低k介电材料形成的,并且在本文中称为低k介电层26。可以使用选自用于形成介电层16的相同的候选材料的材料形成低k介电层26。当从相同的候选材料选择时,介电层16和26的材料可以彼此相同或不同。
根据一些实施例,在低k介电层26上方形成层28、30和32。相应的步骤示出为图15中示出的工艺流程中的步骤202。在低k介电层26上方形成抗反射涂层(ARL)28。根据一些示例性实施例,ARL 28可以是由氧化物形成的无氮ARL(NFARL)。例如,NFARL可包括使用等离子体增强化学汽相沉积(PECVD)形成的氧化硅。
在ARL 28上方形成掩模层30。掩模层30在下文中还称为硬掩模层30。根据一些实施例,硬掩模层30包括可以是以金属氮化物形式的金属。硬掩模层30还可以由诸如氮化硅的非金属氮化物、诸如氮氧化硅的氮氧化物等形成。在硬掩模层30上方还可形成ARL 32。ARL 32还可以是可以由使用PECVD形成的诸如氧化硅的氧化物形成的NFARL。
图案化ARL 32和掩模层30以形成沟槽34。根据一些实施例,使用双重图案化双重蚀刻(2P2E)工艺形成沟槽34,其中,使用不同光刻工艺形成两个相邻的沟槽34,以使相邻的沟槽34可以接近彼此定位而不招致光学邻近效应。
参照图2,图案化的ARL 32和掩模层30用作蚀刻掩模以蚀刻ARL 28和低k介电层26。因此,沟槽34延伸至低k介电层26内。相应的步骤示出为图15中示出的工艺流程中的步骤204。当沟槽34的底面在低k介电层26的顶面和底面之间的中间水平处时,蚀刻完成。在蚀刻期间,可以消耗ARL 32(图1),留下掩模层30作为顶层。
参照图3,在掩模层30上方形成光刻胶36,且光刻胶具有填充至沟槽34内的一些部分(图2)。相应的步骤示出为图15中示出的工艺流程中的步骤206。光刻胶36具有平坦的顶面,以使光刻胶36上面的随后形成的层可以是平坦的层,并且可以非常薄(例如,具有几百埃的厚度)同时仍然是共形的。
接下来,形成又称为掩模层38的高蚀刻选择性层38。相应的步骤示出为图15中示出的工艺流程中的步骤208。高蚀刻选择性层38包括具有不同特征的至少两个层,且因此当使用适当蚀刻剂时具有高蚀刻选择性。根据本发明的一些实施例,层38包括层40、层40上方的层42以及层42上方的层44。例如,层38包括低温(LT)氧化物层40、LT氧化物层40上方的含金属和/或氮化物的层(诸如,TiN、AlN或Al2O3)42以及层42上方的LT氧化物层44。层42可以用作硬掩模,且在掩模层42的图案化中和/或低k介电层26的蚀刻中,LT氧化物层40可用作蚀刻停止层。
根据可选的实施例,层38包括层40和层42,但是不包括层44。根据又可选实施例,层38包括层42和层44,但是不包括层40。,当选择适当的蚀刻剂时,金属氮化物层42由于含有金属可具有相对于LT氧化物层40和44的较高的蚀刻选择性,以使蚀刻可导致层38中上面的层被图案化,同时层38中的下面的层用作蚀刻停止层。由于光刻胶36的存在,层40、42、和44在低温下形成以防止光刻胶36的破坏。层40、42和44的形成温度可以低于约200℃,且可以在约75℃和约170℃之间的范围内。形成在光刻胶36上的层40可使用原子层沉积(ALD)形成以通过等离子体最小化对光刻胶36的损坏,同时也可使用诸如化学汽相沉积(CVD)、物理汽相沉积(PVD)等的其它方法。可使用PVD形成层42(诸如TiN)。层40、42和44的厚度可以介于约和约的范围内。
层40、42、和44的材料可以选自各个组合。例如,下文列举了多个蚀刻剂组,其中,每组包括适合用于蚀刻一些可蚀刻材料的蚀刻剂,同时也列举了一些不可蚀刻的材料。因此,可蚀刻材料可用于形成层38中上面的层,且不可蚀刻材料可用于形成层38中正下面的层。例如,H3PO4或HNO3适合用于蚀刻金属(诸如铝)或SiN,且不适合用于蚀刻SiO2、Si、和光刻胶中的任意一种。NH4OH或H2O2适合用于蚀刻铝或聚合物,且不适合用于蚀刻SiO2、Si、和SiN中的任意一种。也可使用干蚀刻实施蚀刻。例如,可使用Cl2蚀刻金属,且可使用CxFy蚀刻介电材料,其中,工艺气体的流速可以被调节以提高蚀刻中的选择性。
图3至图8示出了用于形成通孔图案的二光刻二蚀刻工艺。图3至图5示出了用于形成第一通孔图案的第一光刻胶第一蚀刻工艺中的中间阶段。根据本发明的一些实施例,在层38上方形成三层,其中,三层包括底层(又名下层)46、底层46上方的中间层48以及中间层48上方的上层50。相应的步骤示出为图15中示出的工艺流程中的步骤210。根据一些实施例,底层46和上层50是由光刻胶形成的。中间层48可以是由无机材料形成的,无机材料可以是氮化物(诸如氮化硅)、氮氧化物(诸如氮氧化硅)、氧化物(诸如氧化硅)等。相对于上层50和底层46,中间层48具有较高的蚀刻选择性,并且因此,上层50可用作用于图案化中间层48的蚀刻掩模,并且中间层48可用作用于图案化底层46的蚀刻掩模。上层50被图案化以形成开口52,开口52具有将在低k介电层26中形成的通孔70A中的图案(图13)。
接下来,使用图案化的上层50作为蚀刻掩模蚀刻中间层48,以使上层50的图案被转印至中间层48。图4中示出生成的结构。在中间层48的图案化期间,上层50被至少部分地或完全地消耗。在蚀刻穿过中间层48之后,图案化底层46,其中,中间层48用作蚀刻掩模。如果在中间层48的图案化期间上层50尚未被完全地消耗,在底层46的图案化期间,上层50也将被完全地消耗。
然后,底层46和上面的中间层48用作蚀刻掩模以蚀刻下面的层44,其中,蚀刻工艺称为第一蚀刻工艺。相应的步骤示出为图15中示出的工艺流程中的步骤212。因此,开口52延伸至层44内,层42暴露于开口52。由于中间层48和层44均由无机材料形成,且相对于彼此可具有较低的蚀刻选择性,中间层48可以被消耗,且在层44的随后的蚀刻中,底层46用作蚀刻掩模。尽管以比中间层48和层44低的蚀刻速率,在层44的图案化期间,还可消耗底层46。因此,在完成层44的图案化的时候,底层46的厚度减小。
蚀刻之后,包括光刻胶的剩余底层46在灰化工艺中被去除,其中,氧气用于去除底层46。图5中示出生成的结构。如图4和图5所示,由于层40和层42提供保护,在灰化工艺中,低k介电层26和光刻胶36未被损坏。
图6至图8示出了层44的图案化中的第二光刻第二蚀刻工艺。根据本发明的一些实施例,如图6所示,在层44上方形成第二三层。相应的步骤示出为图15中示出的工艺流程中的步骤214。第二三层包括底层54、底层54上方的中间层56以及中间层56上方的上层58。根据一些实施例,底层54和上层58是由光刻胶形成的。中间层56可以是由无机材料形成的,无机材料可以是氮化物(诸如氮化硅)、氮氧化物(诸如氮氧化硅)、氧化物(诸如氧化硅)等。相对于上层58和底层54,中间层56具有较高的蚀刻选择性,并且因此,上层58可用作用于图案化中间层56的蚀刻掩模,并且中间层56可用作用于图案化底层54的蚀刻掩模。上层58被图案化以形成开口60,开口60还具有将在低k介电层26中形成的通孔70B(图13)的图案。
使用图案化的上层58作为蚀刻掩模蚀刻中间层56,以使上层58的图案被转印至中间层56。图7中示出生成的结构。在中间层56的图案化期间,上层58也可被消耗。在蚀刻穿过中间层56之后,图案化底层54,接下来蚀刻层44。因此,开口60延伸至层44内,层42暴露于开口60。相应的步骤示出为图15中示出的工艺流程中的步骤216。蚀刻之后,包括光刻胶的剩余底层54(图7)在灰化工艺中被去除,其中,氧气用于去除底层54。图8中示出生成的结构。如图7和图8所示,由于层40和层42提供保护,在灰化工艺中,低k介电层26和光刻胶36未被损坏。
图9和图10示出了至低k介电层26内的通孔图案的转印。相应的步骤示出为图15中示出的工艺流程中的步骤218。参照图9,使用层42(图8)用作蚀刻掩模以蚀刻下面的层40。如图9所示,根据本发明的一些实施例,在蚀刻之后去除硬掩模层42,留下图案化的层40。根据可选的实施例,在层40的图案化之后,留下硬掩模层42(图8)未被去除,如通过图9中虚线示出。
在随后的步骤中,如图10所示,蚀刻光刻胶36,其中,层40(或层42,如果层42未去除)用作蚀刻掩模。光刻胶36的蚀刻停止在低k介电层26的顶面上。然后,光刻胶36用作蚀刻掩模以蚀刻低k介电层26,以使在低k介电层26的下部中形成通孔开口64和66。执行蚀刻直至暴露出ESL 24。当ESL 24包括一个以上的层,ESL 24的顶层也可被蚀刻穿过且蚀刻停止在ESL 24的底层上。
在通孔开口64和66的形成之后,通过使用氧气(O2)作为工艺气体,在灰化工艺中去除剩余的光刻胶36。然后蚀刻掩模层30,产生图11中的结构。
根据一些实施例,如图3至图8所示,在第一光刻胶第一蚀刻中和第二光刻胶第二蚀刻中形成的图案保存在光刻胶36上方的层(层44,图8)中,而不是直接地形成在低k介电层26中。因此,在低k介电层26中的通孔开口64和66的形成仅涉及光刻胶36的单一灰化工艺。这不同于常规的2P2E工艺,常规的2P2E工艺中每个2P2E工艺中的通孔开口的形成涉及直接蚀刻至低k介电层26内并且因此需要形成光刻胶以用于每个2P2E工艺。结果,常规的2P2E工艺需要两个光刻胶的灰化,且将低k介电层26暴露于两次灰化工艺。根据本发明的实施例,由于灰化工艺造成低k介电层26的损坏,通过单一灰化工艺,低k介电层26的损坏可以被最小化。
参照图12,蚀刻穿过蚀刻停止层24,露出下面的导电线22。图13分别示出了在通孔开口64和66(图12)中的导电通孔70(包括70A和70B)的形成。导电线68(包括68A和68B)还形成在沟槽34中(图12)。相应的步骤示出为图15中示出的工艺流程中的步骤220。通孔70和导电线68可以包括诸如扩散阻挡层、粘合层等的衬垫72。衬垫72可以由钛、氮化钛、钽、氮化钽或其他替代物形成。导电线68的内部材料74包括诸如铜、铜合金、银、金、钨、铝等的导电材料。在根据一些实施例,通孔70和导电线68的形成包括实施毯式沉积以形成衬垫72,沉积铜或铜合金的薄晶种层,以及例如通过电镀、化学镀、沉积等用金属74填充剩余的通孔开口64/66和沟槽34。可执行诸如化学机械平坦化(CMP)的平坦化以使导电线68的表面平坦,且从介电层26的顶面去除多余的导电材料。在随后的步骤中,形成介电ESL层76,且可形成更多的低k介电层和金属线和通孔(未示出)。
根据一些实施例,如所论述的工艺步骤可用于三光刻三蚀刻工艺。在这些实施例中,可以执行第三光刻第三蚀刻以在层44中形成第三通孔的图案,其中,第三图案同时向下转印至低k介电层26作为开口52和60(图8)。第三光刻第三蚀刻可以插入在图8中示出的步骤和图9中示出的步骤之间。第三光刻第三蚀刻的工艺步骤类似于图6至图8中示出的步骤,并且因此在此不再赘述。
图1至图13中示出的工艺步骤示出了两个通孔的形成,每个通孔连接至其自身上面的金属线。相同的工艺步骤还可用于形成向下面的通孔且连接至上面相同的金属线。同时执行工艺步骤,且共享图1至图13中示出的工艺步骤,没有添加额外的工艺步骤。例如,图14示出了使用2P2E工艺形成的包括通孔174和274的结构。通孔174和274在下面且连接至上面的相同的导电线168。此外,通过如图13中限定通孔70A的图案的相同的工艺步骤3至步骤5同时限定通孔174的图案,以及通过如图13中限定通孔70B的图案的相同工艺步骤6至步骤8同时限定通孔274的图案。
本发明的实施例具有一些有利的特征。根据本发明的一些实施例,掩模层用于保存2P2E(或3P3E)工艺中形成的图案。然后,图案被同时转印至低k介电层内。因此,无论使用了多少次光刻和蚀刻步骤,通孔和金属线的形成仅涉及其灰化可能造成低k介电层的损坏的单一光刻胶层。2P2E工艺中的光刻胶的灰化不导致低k介电层的损坏,因为低k介电层受到上面的光刻胶和掩模层的保护。此外,通过2P2E工艺,通孔连接上面的金属线的拐角具有尖锐轮廓,而不是不利的圆形。
根据本发明的一些实施例,一种方法包括形成介电层,在介电层上方形成光刻胶,在光刻胶上方形成第一掩模层,以及在第一掩模层上方形成第二掩模层。执行第一光刻第一蚀刻以在第二掩模层中形成第一通孔图案,其中,第一光刻第一蚀刻停止在第一掩模层的顶面上。执行第二光刻第二蚀刻以在第二掩模层中形成第二通孔图案,其中,第二光刻第二蚀刻停止在第一掩模层的顶面上。使用第二掩模层作为蚀刻掩模以蚀刻第一掩模层。蚀刻光刻胶和介电层以同时将第一通孔图案和第二通孔图案转印至介电层中。
根据本发明的一些实施例,一种方法包括在衬底上方形成低k介电层,蚀刻低k介电层以形成沟槽,在低k介电层上方形成第一掩模层,以及在第一掩模层上方形成第二掩模层。方法还包括,在第一图案化步骤中,在第二掩模层中形成第一通孔图案,以及在第二图案化步骤中,在第二掩模层中形成第二通孔图案。使用第二掩模层作为蚀刻掩模以蚀刻第一掩模层以同时将第一通孔图案和第二通孔图案转印至第一掩模层内。使用第一掩模层作为蚀刻掩模以蚀刻低k介电层以在低k介电层中形成第一通孔开口和第二通孔开口。
根据本发明的一些实施例,一种方法包括:在半导体衬底上方形成低k介电层,蚀刻低k介电层以形成第一沟槽和第二沟槽,施加具有填充第一沟槽的第一部分和填充第二沟槽的第二部分的光刻胶,形成第一掩模层覆盖光刻胶,以及在第一掩模层上面形成第二掩模层。第一掩模层是平坦的毯式层。使用分开的工艺步骤在第二掩模层中形成第一通孔开口和第二通孔开口。当形成第一通孔开口和第二通孔开口时,光刻胶完全由第一掩模层覆盖。蚀刻第一掩模层以将第一通孔开口和第二通孔开口延伸至第一掩模层中。第一通孔开口和第二通孔开口分别地延伸至光刻胶的第一部分和第二部分中。使用光刻胶作为蚀刻掩模以蚀刻低k介电层以在低k介电层中分别地形成第一通孔开口和第二通孔开口。
根据本发明的一个实施例,提供了一种使用多重光刻多重蚀刻的通孔图案化的方法,包括:形成介电层;在所述介电层上方形成光刻胶;在所述光刻胶上方形成第一掩模层;在所述第一掩模层上方形成第二掩模层;执行第一光刻第一蚀刻以在所述第二掩模层中形成第一通孔图案,其中,所述第一光刻第一蚀刻停止在所述第一掩模层的顶面上;执行第二光刻第二蚀刻以在所述第二掩模层中形成第二通孔图案,其中,所述第二光刻第二蚀刻停止在所述第一掩模层的所述顶面上;使用所述第二掩模层作为蚀刻掩模蚀刻所述第一掩模层;以及蚀刻所述光刻胶和所述介电层以同时将所述第一通孔图案和所述第二通孔图案转印至所述介电层内。
在上述方法中,使用蚀刻的所述光刻胶作为蚀刻掩模以蚀刻所述介电层。
在上述方法中,还包括在所述介电层中形成沟槽,其中,所述光刻胶填充所述沟槽,并且所述第一通孔图案被转印为位于所述沟槽下面的且连接至所述沟槽的通孔开口。
在上述方法中,还包括用导电材料填充所述沟槽和所述通孔开口以分别形成导电线和通孔。
在上述方法中,还包括:在所述第一掩模层下面形成第三掩模层,其中,蚀刻所述第一掩模层停止在所述第三掩模层的顶面上;以及使用所述第一掩模层作为蚀刻掩模蚀刻所述第三掩模层。
在上述方法中,使用三层来执行所述第一光刻第一蚀刻和所述第二光刻第二蚀刻的每个。
在上述方法中,所述光刻胶具有平坦的顶面,以及所述第一掩模层和所述第二掩模层是平坦的层。
根据本发明的另一实施例,还提供了一种使用多重光刻多重蚀刻的通孔图案化的方法,包括:在衬底上方形成低k介电层;蚀刻所述低k介电层以形成沟槽;在所述低k介电层上方形成第一掩模层;在所述第一掩模层上方形成第二掩模层;在第一图案化步骤中,在所述第二掩模层中形成第一通孔图案;在第二图案化步骤中,在所述第二掩模层中形成第二通孔图案;使用第二掩模层作为蚀刻掩模蚀刻所述第一掩模层以同时将所述第一通孔图案和所述第二通孔图案转印至所述第一掩模层内;以及使用所述第一掩模层作为蚀刻掩模蚀刻所述低k介电层以在所述低k介电层中形成第一通孔开口和第二通孔开口。
在上述方法中,还包括在所述低k介电层上方形成光刻胶,其中,所述光刻胶填充所述沟槽,并且所述第一掩模层在所述光刻胶上面。
在上述方法中,所述沟槽具有位于所述低k介电层的顶面和底面之间的中间水平处的底部。
在上述方法中,所述第一掩模层包括金属,以及所述第二掩模层包括氧化硅。
在上述方法中,还包括位于所述第一掩模层下面的额外的氧化硅层。
在上述方法中,使用包括两个光刻胶的三层执行所述第一图案化步骤和所述第二图案化步骤的每个。
在上述方法中,还包括填充所述沟槽、所述第一通孔开口以及所述第二通孔开口以分别形成导电线、第一通孔以及第二通孔。
在上述方法中,在形成所述沟槽和形成所述导电线之间的整个周期期间,存在单一光刻胶灰化步骤,当暴露所述低k介电层的部分时执行所述单一光刻胶灰化步骤,以及当暴露所述低k介电层时不执行额外的光刻胶灰化步骤。
根据本发明的又一实施例,还提供了一种使用多重光刻多重蚀刻的通孔图案化的方法,包括:在半导体衬底上方形成低k介电层;蚀刻所述低k介电层以形成第一沟槽和第二沟槽;施加具有填充所述第一沟槽的第一部分和填充所述第二沟槽的第二部分的光刻胶;形成覆盖所述光刻胶的第一掩模层,其中,所述第一掩模层是平坦的毯式层;在所述第一掩模层上面形成第二掩模层;使用分开的工艺步骤在所述第二掩模层中形成第一通孔开口和第二通孔开口,其中,当形成所述第一通孔开口和所述第二通孔开口时,所述光刻胶被所述第一掩模层完全地覆盖;蚀刻所述第一掩模层以将所述第一通孔开口和所述第二通孔开口延伸至所述第一掩模层内;所述第一通孔开口和所述第二通孔开口分别地延伸至所述光刻胶的所述第一部分和所述第二部分内;以及使用所述光刻胶作为蚀刻掩模蚀刻所述低k介电层以在所述低k介电层中分别形成第一通孔开口和第二通孔开口。
在上述方法中,还包括同时去除所述光刻胶的所述第一部分和所述第二部分。
在上述方法中,还包括:填充所述第一沟槽和所述第二沟槽以分别地形成第一金属线和第二金属线。
在上述方法中,还包括:填充所述第一通孔开口和所述第二通孔开口以分别地形成第一通孔和第二通孔。
在上述方法中,还包括:在所述第一掩模层下面形成第三掩模层;以及蚀刻所述第三掩模层以将所述第一通孔开口和所述第二通孔开口延伸至所述第三掩模层内,其中,使用所述第三掩模层作为蚀刻掩模将所述第一通孔开口和所述第二通孔开口延伸至所述光刻胶内。
上面概述了若干实施例的部件、使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实现与在此所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围、并且在不背离本发明的精神和范围的情况下,在此他们可以做出多种变化、替换以及改变。

Claims (19)

1.一种使用多重光刻多重蚀刻的通孔图案化的方法,包括:
形成介电层;
在所述介电层中形成沟槽;
在所述介电层上方形成光刻胶,所述光刻胶填充所述沟槽;
在所述光刻胶上方形成第一掩模层;
在所述第一掩模层上方形成第二掩模层;
执行第一光刻第一蚀刻以在所述第二掩模层中形成第一通孔图案,其中,所述第一光刻第一蚀刻停止在所述第一掩模层的顶面上;
执行第二光刻第二蚀刻以在所述第二掩模层中形成第二通孔图案,其中,所述第二光刻第二蚀刻停止在所述第一掩模层的所述顶面上;
使用所述第二掩模层作为蚀刻掩模蚀刻所述第一掩模层;以及
蚀刻所述光刻胶和所述介电层以同时将所述第一通孔图案和所述第二通孔图案转印至所述介电层内。
2.根据权利要求1所述的使用多重光刻多重蚀刻的通孔图案化的方法,其中,使用蚀刻的所述光刻胶作为蚀刻掩模以蚀刻所述介电层。
3.根据权利要求1所述的使用多重光刻多重蚀刻的通孔图案化的方法,其中,所述第一通孔图案被转印为位于所述沟槽下面的且连接至所述沟槽的通孔开口。
4.根据权利要求3所述的使用多重光刻多重蚀刻的通孔图案化的方法,还包括用导电材料填充所述沟槽和所述通孔开口以分别形成导电线和通孔。
5.根据权利要求1~4任一项所述的使用多重光刻多重蚀刻的通孔图案化的方法,还包括:
在所述第一掩模层下面形成第三掩模层,其中,蚀刻所述第一掩模层停止在所述第三掩模层的顶面上;以及
使用所述第一掩模层作为蚀刻掩模蚀刻所述第三掩模层。
6.根据权利要求1~4任一项所述的使用多重光刻多重蚀刻的通孔图案化的方法,其中,使用三层掩膜层来执行所述第一光刻第一蚀刻和所述第二光刻第二蚀刻的每个。
7.根据权利要求1~4任一项所述的使用多重光刻多重蚀刻的通孔图案化的方法,其中,所述光刻胶具有平坦的顶面,以及所述第一掩模层和所述第二掩模层是平坦的层。
8.一种使用多重光刻多重蚀刻的通孔图案化的方法,包括:
在衬底上方形成低k介电层;
蚀刻所述低k介电层以形成沟槽;
在所述低k介电层上方形成光刻胶,其中,所述光刻胶填充所述沟槽;
在所述光刻胶上方形成第一掩模层;
在所述第一掩模层上方形成第二掩模层;
在第一图案化步骤中,在所述第二掩模层中形成第一通孔图案;
在第二图案化步骤中,在所述第二掩模层中形成第二通孔图案;
使用第二掩模层作为蚀刻掩模蚀刻所述第一掩模层以同时将所述第一通孔图案和所述第二通孔图案转印至所述第一掩模层内;以及
使用所述第一掩模层作为蚀刻掩模蚀刻所述光刻胶和所述低k介电层以在所述光刻胶和所述低k介电层中形成第一通孔开口和第二通孔开口。
9.根据权利要求8所述的使用多重光刻多重蚀刻的通孔图案化的方法,其中,所述沟槽具有位于所述低k介电层的顶面和底面之间的中间水平处的底部。
10.根据权利要求8~9任一项所述的使用多重光刻多重蚀刻的通孔图案化的方法,其中,所述第一掩模层包括金属,以及所述第二掩模层包括氧化硅。
11.根据权利要求10所述的使用多重光刻多重蚀刻的通孔图案化的方法,还包括位于所述第一掩模层下面的额外的氧化硅层。
12.根据权利要求8~9任一项所述的使用多重光刻多重蚀刻的通孔图案化的方法,其中,使用包括两个光刻胶的三层掩膜层执行所述第一图案化步骤和所述第二图案化步骤的每个。
13.根据权利要求8~9任一项所述的使用多重光刻多重蚀刻的通孔图案化的方法,还包括填充所述沟槽、所述第一通孔开口以及所述第二通孔开口以分别形成导电线、第一通孔以及第二通孔。
14.根据权利要求13所述的使用多重光刻多重蚀刻的通孔图案化的方法,其中,在形成所述沟槽和形成所述导电线之间的整个周期期间,存在单一光刻胶灰化步骤,当暴露所述低k介电层的部分时执行所述单一光刻胶灰化步骤,以及当暴露所述低k介电层时不执行额外的光刻胶灰化步骤。
15.一种使用多重光刻多重蚀刻的通孔图案化的方法,包括:
在半导体衬底上方形成低k介电层;
蚀刻所述低k介电层以形成第一沟槽和第二沟槽;
施加具有填充所述第一沟槽的第一部分和填充所述第二沟槽的第二部分的光刻胶;
形成覆盖所述光刻胶的第一掩模层,其中,所述第一掩模层是平坦的毯式层;
在所述第一掩模层上面形成第二掩模层;
使用分开的工艺步骤在所述第二掩模层中形成第一通孔开口和第二通孔开口,其中,当形成所述第一通孔开口和所述第二通孔开口时,所述光刻胶被所述第一掩模层完全地覆盖;
蚀刻所述第一掩模层以将所述第一通孔开口和所述第二通孔开口延伸至所述第一掩模层内;
所述第一通孔开口和所述第二通孔开口分别地延伸至所述光刻胶的所述第一部分和所述第二部分内;以及
使用所述光刻胶作为蚀刻掩模蚀刻所述低k介电层以在所述低k介电层中分别形成第一通孔开口和第二通孔开口。
16.根据权利要求15所述的使用多重光刻多重蚀刻的通孔图案化的方法,还包括同时去除所述光刻胶的所述第一部分和所述第二部分。
17.根据权利要求15所述的使用多重光刻多重蚀刻的通孔图案化的方法,还包括:
填充所述第一沟槽和所述第二沟槽以分别地形成第一金属线和第二金属线。
18.根据权利要求15~17任一项所述的使用多重光刻多重蚀刻的通孔图案化的方法,还包括:
填充所述第一通孔开口和所述第二通孔开口以分别地形成第一通孔和第二通孔。
19.根据权利要求15~17任一项所述的使用多重光刻多重蚀刻的通孔图案化的方法,还包括:
在所述第一掩模层下面形成第三掩模层;以及
蚀刻所述第三掩模层以将所述第一通孔开口和所述第二通孔开口延伸至所述第三掩模层内,其中,使用所述第三掩模层作为蚀刻掩模将所述第一通孔开口和所述第二通孔开口延伸至所述光刻胶内。
CN201710015006.XA 2016-01-11 2017-01-10 使用多重光刻多重蚀刻的通孔图案化 Active CN107017154B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/992,515 US9412648B1 (en) 2016-01-11 2016-01-11 Via patterning using multiple photo multiple etch
US14/992,515 2016-01-11

Publications (2)

Publication Number Publication Date
CN107017154A CN107017154A (zh) 2017-08-04
CN107017154B true CN107017154B (zh) 2019-11-01

Family

ID=56556009

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710015006.XA Active CN107017154B (zh) 2016-01-11 2017-01-10 使用多重光刻多重蚀刻的通孔图案化

Country Status (5)

Country Link
US (5) US9412648B1 (zh)
KR (1) KR101910238B1 (zh)
CN (1) CN107017154B (zh)
DE (1) DE102016100766B4 (zh)
TW (1) TWI603382B (zh)

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9412648B1 (en) 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN108122820B (zh) 2016-11-29 2020-06-02 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10522394B2 (en) * 2017-09-25 2019-12-31 Marvell World Trade Ltd. Method of creating aligned vias in ultra-high density integrated circuits
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107799401A (zh) * 2017-10-20 2018-03-13 上海华力微电子有限公司 一种增加高深宽比层次光刻工艺窗口的方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR102451171B1 (ko) 2018-01-25 2022-10-06 삼성전자주식회사 반도체 소자
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10515803B1 (en) * 2018-07-12 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple layer scheme patterning process
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20200085111A (ko) * 2019-01-04 2020-07-14 삼성전자주식회사 반도체 장치
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11127825B2 (en) 2019-03-22 2021-09-21 International Business Machines Corporation Middle-of-line contacts with varying contact area providing reduced contact resistance
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US10847417B1 (en) * 2019-08-21 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures in semiconductor fabrication
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11205590B2 (en) 2019-09-21 2021-12-21 International Business Machines Corporation Self-aligned contacts for MOL
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
TWI751819B (zh) * 2020-12-02 2022-01-01 華邦電子股份有限公司 半導體裝置之製造方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11908731B2 (en) * 2021-05-13 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Via-first self-aligned interconnect formation process
US11929281B2 (en) * 2021-06-17 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing oxidation by etching sacrificial and protection layer separately
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240047350A1 (en) * 2022-08-03 2024-02-08 Nanya Technology Corporation Metal structure having funnel-shaped interconnect and method of manufacturing the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6259680B1 (en) * 1997-10-01 2001-07-10 Adtran, Inc. Method and apparatus for echo cancellation
TW483104B (en) * 2001-01-10 2002-04-11 Macronix Int Co Ltd Dual damascene manufacturing method using photoresist top surface image method to improve trench first
US6853043B2 (en) 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP2006024811A (ja) * 2004-07-09 2006-01-26 Sony Corp 半導体装置の製造方法
US7547584B2 (en) * 2005-05-27 2009-06-16 United Microelectronics Corp. Method of reducing charging damage to integrated circuits during semiconductor manufacturing
JP5532826B2 (ja) * 2009-11-04 2014-06-25 富士通セミコンダクター株式会社 半導体素子の製造方法
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US8298935B2 (en) * 2010-11-22 2012-10-30 United Microelectronics Corp. Dual damascene process
US8916337B2 (en) 2012-02-22 2014-12-23 International Business Machines Corporation Dual hard mask lithography process
CN104124203B (zh) * 2013-04-28 2017-11-03 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
CN104216233B (zh) * 2013-06-05 2016-08-10 中芯国际集成电路制造(上海)有限公司 曝光方法
US9412648B1 (en) 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch

Also Published As

Publication number Publication date
US9754818B2 (en) 2017-09-05
US10340178B2 (en) 2019-07-02
KR101910238B1 (ko) 2018-10-19
TWI603382B (zh) 2017-10-21
US20190326164A1 (en) 2019-10-24
US20190096752A1 (en) 2019-03-28
CN107017154A (zh) 2017-08-04
US10141220B2 (en) 2018-11-27
KR20170083943A (ko) 2017-07-19
US10510584B2 (en) 2019-12-17
DE102016100766A1 (de) 2017-07-13
DE102016100766B4 (de) 2019-02-07
US20170200636A1 (en) 2017-07-13
US9412648B1 (en) 2016-08-09
US20170365508A1 (en) 2017-12-21
TW201737312A (zh) 2017-10-16

Similar Documents

Publication Publication Date Title
CN107017154B (zh) 使用多重光刻多重蚀刻的通孔图案化
US10840097B2 (en) Semiconductor methods and devices
CN107665857A (zh) 用于形成具有笔直轮廓的通孔的多重图案化
CN104658892B (zh) 用于集成电路图案化的方法
TWI684244B (zh) 圖案化可變寬度金屬化線之方法
CN106469675A (zh) 用于互连的结构和方法
CN109786346A (zh) 通孔结构及其方法
CN106206499B (zh) 半导体器件和方法
US9722174B1 (en) Low dielectric constant interlayer dielectrics in spin torque magnetoresistive devices
KR102411268B1 (ko) 자가 정렬된 비아를 갖는 반도체 디바이스
CN109872967A (zh) 制造半导体装置的方法
US11257673B2 (en) Dual spacer metal patterning
CN104051328A (zh) 用于半导体器件制造的图案化方法
US8476160B2 (en) Sublithographic patterning employing image transfer of a controllably damaged dielectric sidewall
CN106158731B (zh) 互连结构的形成方法
CN107017301A (zh) 半导体结构及其制造方法
CN106158726B (zh) 半导体器件的制造方法
TWI840458B (zh) 半導體裝置及其製造方法
US20240162087A1 (en) Mandrel-pull-first interconnect patterning
US8119334B2 (en) Method of making a semiconductor device using negative photoresist
US20130230980A1 (en) Photoresist structures having resistance to peeling
KR100470390B1 (ko) 에스램소자 제조시 다마신을 이용한 국부배선 스페이스최소화방법
CN113314500A (zh) 半导体结构与其制作方法
CN115346916A (zh) 互连结构及其形成方法
CN108550563A (zh) 半导体结构及其制备方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant