CN108122820B - 互连结构及其制造方法 - Google Patents

互连结构及其制造方法 Download PDF

Info

Publication number
CN108122820B
CN108122820B CN201611066883.1A CN201611066883A CN108122820B CN 108122820 B CN108122820 B CN 108122820B CN 201611066883 A CN201611066883 A CN 201611066883A CN 108122820 B CN108122820 B CN 108122820B
Authority
CN
China
Prior art keywords
layer
dielectric layer
trench
interconnect
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201611066883.1A
Other languages
English (en)
Other versions
CN108122820A (zh
Inventor
何作鹏
朱继光
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
China Core Integrated Circuit Ningbo Co Ltd
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
China Core Integrated Circuit Ningbo Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, China Core Integrated Circuit Ningbo Co Ltd filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201611066883.1A priority Critical patent/CN108122820B/zh
Priority to US15/716,261 priority patent/US10553536B2/en
Priority to EP17203759.0A priority patent/EP3327762B1/en
Publication of CN108122820A publication Critical patent/CN108122820A/zh
Priority to US16/734,610 priority patent/US11373949B2/en
Application granted granted Critical
Publication of CN108122820B publication Critical patent/CN108122820B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种互连结构及其制造方法,涉及半导体技术领域。该方法包括:提供衬底结构,其包括衬底和在衬底上的第一电介质层,第一电介质层具有延伸到衬底的用于第一互连层的开口;在第一电介质层远离开口的区域之上形成第一掩模层;沉积第一金属层以填充开口,并覆盖第一电介质层未被第一掩模层覆盖的区域;其中,第一金属层与第一掩模层邻接的部分作为第二互连层的下部;去除第一掩模层;形成第二电介质层,以覆盖第一金属层和第一电介质层暴露的部分,所述第二电介质层具有用于第二互连层的沟槽,沟槽使得第二互连层的下部露出;在沟槽中填充第二金属层,从而形成第二互连层的上部。本发明能减小互连结构中的应力。

Description

互连结构及其制造方法
技术领域
本发明涉及半导体技术领域,尤其涉及一种互连结构及其制造方法。
背景技术
随着器件尺寸的缩小,现有的方案中通常采用大马士革工艺来形成互连结构。现有的一个典型的互连结构的制造方法可以包括如下步骤:首先,在电介质层中形成开口;然后,在电介质层的表面和开口中沉积阻挡层和籽晶层;之后,在籽晶层上电镀沉积金属以填充开口;然后对电镀沉积的金属进行平坦化。
发明人发现,现有的互连结构的制造方法存在如下问题:从开口底部向上电镀金属的速度比较慢,因此,为了填充开口需要在整个晶片上电镀沉积的金属的量比较大。例如,在集成无源器件(IPD)工艺中,电导的结构比较大,需要沉积很厚的金属才能填充互连结构的开口。如此,一方面,沉积的金属会有很大的应力,这会使得互连结构中的应力较大;另外,大的应力还会使得晶片翘曲,甚至破裂。另一方面,沉积的金属比较厚,沉积金属的工艺成本以及后续平坦化工艺的成本较高。
发明内容
本发明的一个目的在于减小互连结构中的应力。
根据本发明的一个实施例,提供了一种互连结构的制造方法,包括:提供衬底结构,所述衬底结构包括衬底和在所述衬底上的第一电介质层,所述第一电介质层具有延伸到所述衬底的用于第一互连层的开口;在所述第一电介质层远离所述开口的区域之上形成第一掩模层;沉积第一金属层以填充所述开口,并覆盖所述第一电介质层未被所述第一掩模层覆盖的区域;其中,所述第一金属层与所述第一掩模层邻接的部分作为第二互连层的下部;去除所述第一掩模层;形成第二电介质层,以覆盖所述第一金属层和所述第一电介质层暴露的部分,所述第二电介质层具有用于第二互连层的沟槽,所述沟槽使得所述第二互连层的下部露出;在所述沟槽中填充第二金属层,从而形成第二互连层的上部。
在一个实施例中,所述在所述沟槽中填充第二金属层包括:在剩余的第二电介质层的至少一部分之上形成第二掩模层;沉积第二金属层,以填充所述沟槽;去除所述第二掩模层;执行平坦化工艺,以使得剩余的第二金属层的上表面与所述第二电介质层的上表面基本齐平。
在一个实施例中,所述在所述沟槽中填充第二金属层包括:沉积第二金属层,以填充所述沟槽并覆盖剩余的第二电介质层;执行平坦化工艺,以使得剩余的第二金属层的上表面与所述第二电介质层的上表面基本齐平。
在一个实施例中,在所述第一电介质层远离所述开口的区域之上形成第一掩模层之前,还包括:在所述衬底结构上形成第一阻挡层,所述第一掩模层形成在所述第一阻挡层之上;在去除所述第一掩模层之后,还包括:去除暴露的所述第一阻挡层。
在一个实施例中,在所述第一电介质层远离所述开口的区域之上形成第一掩模层之前,还包括:在所述第一阻挡层上形成第一籽晶层,所述第一掩模层形成在所述第一籽晶层上;在去除所述第一掩模层之后,还包括:去除暴露的所述第一籽晶层。
在一个实施例中,在剩余的第二电介质层的至少一部分之上形成第二掩模层之前,还包括:
在所述剩余的第二电介质层、所述沟槽的底部和侧壁上形成第二阻挡层,所述第二掩模层形成在所述第二阻挡层之上。
在一个实施例中,在剩余的第二电介质层的至少一部分之上形成第二掩模层之前,还包括:在所述第二阻挡层上形成第二籽晶层,所述第二掩模层形成在所述第二籽晶层上。
在一个实施例中,通过电镀的方式沉积所述第一金属层以及在所述沟槽中填充第二金属层。
在一个实施例中,所述第一金属层和所述第二金属层包括铜。
在一个实施例中,所述第一掩模层和所述第二掩模层包括光刻胶。
在一个实施例中,所述开口包括延伸到所述第一电介质层中的沟槽和位于该沟槽下的至少一个通孔。
根据本发明的另一个实施例,提供了一种互连结构,包括:衬底;在所述衬底上的第一电介质层,所述第一电介质层具有延伸到所述衬底的用于第一互连层的开口;填充所述开口并覆盖所述第一电介质层与所述开口邻接的区域的第一金属层,其中,所述第一金属层覆盖所述第一电介质层与所述开口邻接的区域的部分作为第二互连层的下部;在所述第一电介质层和所述第一金属层上的第二电介质层,所述第二电介质层具有用于所述第二互连层的沟槽,所述沟槽使得所述第二互连层的下部露出;以及填充所述沟槽的第二金属层。
在一个实施例中,所述互连结构还包括:位于所述开口的底部和侧壁上的第一阻挡层。
在一个实施例中,所述互连结构还包括:位于所述第一阻挡层与所述第一金属层之间的第一籽晶层。
在一个实施例中,所述互连结构还包括:位于所述沟槽的底部和侧壁上的第二阻挡层。
在一个实施例中,所述互连结构还包括:位于所述第二阻挡层与所述第二金属层之间的第二籽晶层。
在一个实施例中,所述第一金属层和所述第二金属层包括铜。
在一个实施例中,所述开口包括延伸到所述第一电介质层中的沟槽和位于该沟槽下的至少一个通孔。
本发明提供的互连结构的制造方法中,在第一电介质层的一部分区域之上形成了第一掩模层,从而减小了后续沉积的第一金属层的量,节约了成本,也减小了第一金属层中的应力,从而减小了第一互连层中的应力,提高了互连结构的可靠性和稳定性。另外,在沉积第一金属层时可以形成第二互连层的下部,省去了形成第二互连层的通孔的光刻和刻蚀工艺,降低了成本。
通过以下参照附图对本发明的示例性实施例的详细描述,本发明的其它特征、方面及其优点将会变得清楚。
附图说明
附图构成本说明书的一部分,其描述了本发明的示例性实施例,并且连同说明书一起用于解释本发明的原理,在附图中:
图1是根据本发明一个实施例的互连结构的制造方法的简化流程图;
图2-图11示出了根据本发明一个实施例的互连结构的制造方法的各个阶段的截面图。
具体实施方式
现在将参照附图来详细描述本发明的各种示例性实施例。应理解,除非另外具体说明,否则在这些实施例中阐述的部件和步骤的相对布置、数字表达式和数值不应被理解为对本发明范围的限制。
此外,应当理解,为了便于描述,附图中所示出的各个部件的尺寸并不必然按照实际的比例关系绘制,例如某些层的厚度或宽度可以相对于其他层有所夸大。
以下对示例性实施例的描述仅仅是说明性的,在任何意义上都不作为对本发明及其应用或使用的任何限制。
对于相关领域普通技术人员已知的技术、方法和装置可能不作详细讨论,但在适用这些技术、方法和装置情况下,这些技术、方法和装置应当被视为本说明书的一部分。
应注意,相似的标号和字母在下面的附图中表示类似项,因此,一旦某一项在一个附图中被定义或说明,则在随后的附图的说明中将不需要对其进行进一步讨论。
针对互连结构中的应力大的问题,发明人提出在沉积金属层时并非在整个晶片上都沉积金属层,而是将晶片上的某些区域遮挡住,这样能够减小沉积的金属层的量,进而减小金属层中的应力。
图1是根据本发明一个实施例的互连结构的制造方法的简化流程图。图2-图11示出了根据本发明一个实施例的互连结构的制造方法的各个阶段的截面图。下面结合图1、图2-图11对根据本发明一个实施例的互连结构的制造方法进行说明。
如图1所示,在步骤102,提供衬底结构。如图2所示,衬底结构包括衬底201和在衬底201上的第一电介质层202,第一电介质层202具有延伸到衬底201的用于第一互连层的开口203。衬底201中可以形成有各种器件,例如金属氧化物半导体(MOS)器件、无源器件(例如电容、电导等)等。衬底201可以包括半导体层(例如硅、锗、砷化镓等)和在半导体层上的器件层。在一个实施例中,开口203可以包括延伸到第一电介质层202中的沟槽(trench)和位于沟槽下延伸到衬底201的通孔(via)。这里,通孔可以包括一个、两个或更多个。需要说明的是,上述开口203可以通过现有的大马士革工艺来形成,在此不再做详细介绍。
接下来,在步骤104,在第一电介质层202远离开口203的区域之上形成第一掩模层301,例如光刻胶,如图3所示。
优选地,在第一电介质层202远离开口203的区域之上形成第一掩模层301之前,还可以先在图2所示的衬底结构上形成第一阻挡层(图中未示出),从而使得第一掩模层301形成在该第一阻挡层之上。这里,第一阻挡层形成在开口203的底部和侧壁以及第一电介质层202的表面上。在一个实施例中,第一阻挡层的材料例如可以包括TaN、Ta或由TaN和Ta组成的叠层。更优选地,还可以在第一阻挡层上形成第一籽晶层(图中未示出),从而使得第一掩模层形成在第一籽晶层上。这里,第一籽晶层的材料例如可以是铜。第一阻挡层和第一籽晶层例如可以通过物理气相沉积(PVD)等方式来形成。
接下来,在步骤106,沉积第一金属层401(例如铜)以填充开口203,并覆盖第一电介质层202未被第一掩模层301覆盖的区域,如图4所示。例如,可以通过电镀的方式沉积第一金属层401。
这里,填充开口203的第一金属层401构成第一互连层。第一互连层包括由通孔中填充的第一金属层构成的下部以及由沟槽中填充的第一金属层构成的上部。第一电介质层202未被第一掩模层301覆盖的区域具有台阶,在台阶上保形地沉积第一金属层401后形成圆圈所示的基本保形的保形区域401A,该保形区域401A与第一掩模层301邻接。这里,第一金属层与第一掩模层301邻接的部分401A(也即保形区域)可以作为第二互连层的下部,该下部通常需要通过在第二互连层的通孔中填充金属后来形成。由于第一掩模层301覆盖了第一电介质层202的一部分区域,因此,电镀沉积的第一金属层401的量与现有的毯式沉积的金属层相比大幅度减小,从而减小了后续形成的互连结构中的应力,提高了互连结构的可靠性,也降低了成本。
然后,在步骤108,去除第一掩模层301,使得第一电介质层202一部分暴露,如图5所示。需要说明的是,如果第一掩模层301下具有第一籽晶层和/或第一阻挡层,则在去除第一掩模层301后,还将露出的第一籽晶层和露出的第一阻挡层去除。
之后,在步骤110,形成第二电介质层601,以覆盖第一金属层401和第一电介质层202暴露的部分,第二电介质层601具有用于第二互连层的沟槽701,沟槽701使得第二互连层的下部401A露出。
在一个实现方式中,首先,如图6所示,沉积第二电介质层601,以覆盖第一金属层401和第一电介质层202暴露的部分。这里,第二电介质层601例如可以是硅的氧化物、硅的氮化物等。第二电介质层601的材料可以与第一电介质层202的材料相同,也可以不同。
然后,如图7所示,对第二电介质层601进行刻蚀以形成用于第二互连层的沟槽701。例如,可以在第二电介质层601上形成图案化的硬掩模,以定义沟槽701的形状;然后,以图案化的硬掩模为掩模刻蚀第二电介质层601,从而形成沟槽701;之后,去除图案化的硬掩模。
之后,在步骤112,在沟槽701中填充第二金属层,例如铜,从而形成第二互连层的上部。例如,可以通过电镀的方式在沟槽701中填充第二金属层。
在一个实现方式中,可以通过如下方式来形成第二互连层的上部:首先,沉积第二金属层,以填充沟槽并覆盖剩余的第二电介质层。然后执行平坦化工艺,以使得剩余的第二金属层的上表面与第二电介质层的上表面基本齐平。注意,在本文中,“基本齐平”是指在工艺偏差范围内的齐平。
在另一个实现方式中,可以通过图8-图11所示的方式形成第二互连层的上部。
如图8所示,在剩余的第二电介质层601的至少一部分之上形成第二掩模层801,例如光刻胶。优选地,在剩余的第二电介质层601之上形成第二掩模层801之前,还可以在剩余的第二电介质层601、沟槽701的底部和侧壁上形成第二阻挡层(图中未示出),从而使得第二掩模层801形成在该第二阻挡层之上。在一个实施例中,第二阻挡层的材料例如可以包括TaN、Ta或由TaN和Ta组成的叠层。更优选地,还可以在上述第二阻挡层上形成第二籽晶层(图中未示出),从而使得第二掩模层801形成在第二籽晶层上。这里,第二籽晶层的材料例如可以是铜。与上类似地,第二阻挡层和第二籽晶层例如可以通过物理气相沉积(PVD)等方式来形成。
如图9所示,沉积第二金属层901,以填充沟槽701。由于在剩余的第二电介质层601的至少一部分之上形成了第二掩模层801,因此沉积的第二金属层901的量减小,进一步减小了第二互连层的应力,也更便于后续的平坦化工艺,降低了平坦化工艺的成本。
如图10所示,去除第二掩模层801。需要说明的是,如果第二掩模层801下具有第二籽晶层和/或第二阻挡层,则在去除第二掩模层801后,还将露出的第二籽晶层和露出的第二阻挡层去除。
如图11所示,执行平坦化工艺,以使得剩余的第二金属层901的上表面与第二电介质层601的上表面基本齐平。
本发明提供的互连结构的制造方法中,在第一电介质层的一部分区域之上形成了第一掩模层,从而减小了后续沉积的第一金属层的量,节约了成本,也减小了第一金属层中的应力,从而减小了第一互连层中的应力,提高了互连结构的可靠性和稳定性。另外,在沉积第一金属层时可以形成第二互连层的下部,省去了形成第二互连层的通孔的光刻和刻蚀工艺,降低了成本。
本发明提供的方法适于但不限于IPD工艺。
本发明还提供了一种互连结构。如图11所示,互连结构可以包括衬底201以及在衬底201上的第一电介质层202,第一电介质层202具有延伸到衬底201的用于第一互连层的开口。在一个实施例中,开口可以包括延伸到第一电介质层中的沟槽和位于该沟槽下的至少一个通孔。
互连结构还包括填充开口并覆盖第一电介质层202与开口邻接的区域的第一金属层401,例如铜。其中,第一金属层401覆盖第一电介质层202与开口邻接的区域的部分401A作为第二互连层的下部。这里,填充开口203的第一金属层401构成第一互连层,第二互连层的下部与第一互连层是一体成型的。
互连结构还包括在第一电介质层202和第一金属层401上的第二电介质层601。第二电介质层601具有用于第二互连层的沟槽,该沟槽使得第二互连层的下部401A露出。
互连结构还包括填充沟槽的第二金属层901,例如铜。
优选地,在某些实施例中,互连结构还可以包括位于上述开口的底部和侧壁上的第一阻挡层(图中未示出)。更优选地,互连结构还可以包括位于第一阻挡层与第一金属层401之间的第一籽晶层(图中未示出)。
优选地,在某些实施例中,互连结构还可以包括位于沟槽的底部和侧壁上的第二阻挡层(图中未示出)。更优选地,互连结构还可以包括位于第二阻挡层与第二金属层之间的第二籽晶层(图中未示出)。
至此,已经详细描述了根据本发明实施例的互连结构及其制造方法。为了避免遮蔽本发明的构思,没有描述本领域所公知的一些细节,本领域技术人员根据上面的描述,完全可以明白如何实施这里公开的技术方案。另外,本说明书公开所教导的各实施例可以自由组合。本领域的技术人员应该理解,可以对上面说明的实施例进行多种修改而不脱离如所附权利要求限定的本发明的精神和范围。

Claims (18)

1.一种互连结构的制造方法,其特征在于,包括:
提供衬底结构,所述衬底结构包括衬底和在所述衬底上的第一电介质层,所述第一电介质层具有延伸到所述衬底的用于第一互连层的开口;
在所述第一电介质层远离所述开口的区域之上形成第一掩模层;
沉积第一金属层以填充所述开口,并覆盖所述第一电介质层未被所述第一掩模层覆盖的区域;其中,所述第一金属层与所述第一掩模层邻接的部分作为第二互连层的下部;
去除所述第一掩模层;
形成第二电介质层,以覆盖所述第一金属层和所述第一电介质层暴露的部分,所述第二电介质层具有用于第二互连层的沟槽,所述沟槽使得所述第二互连层的下部露出;
在所述沟槽中填充第二金属层,从而形成第二互连层的上部。
2.根据权利要求1所述的方法,其特征在于,所述在所述沟槽中填充第二金属层包括:
在剩余的第二电介质层的至少一部分之上形成第二掩模层;
沉积第二金属层,以填充所述沟槽;
去除所述第二掩模层;
执行平坦化工艺,以使得剩余的第二金属层的上表面与所述第二电介质层的上表面基本齐平。
3.根据权利要求1所述的方法,其特征在于,所述在所述沟槽中填充第二金属层包括:
沉积第二金属层,以填充所述沟槽并覆盖剩余的第二电介质层;
执行平坦化工艺,以使得剩余的第二金属层的上表面与所述第二电介质层的上表面基本齐平。
4.根据权利要求1所述的方法,其特征在于,在所述第一电介质层远离所述开口的区域之上形成第一掩模层之前,还包括:
在所述衬底结构上形成第一阻挡层,所述第一掩模层形成在所述第一阻挡层之上;
在去除所述第一掩模层之后,还包括:
去除暴露的所述第一阻挡层。
5.根据权利要求4所述的方法,其特征在于,在所述第一电介质层远离所述开口的区域之上形成第一掩模层之前,还包括:
在所述第一阻挡层上形成第一籽晶层,所述第一掩模层形成在所述第一籽晶层上;
在去除所述第一掩模层之后,还包括:
去除暴露的所述第一籽晶层。
6.根据权利要求2所述的方法,其特征在于,在剩余的第二电介质层的至少一部分之上形成第二掩模层之前,还包括:
在所述剩余的第二电介质层、所述沟槽的底部和侧壁上形成第二阻挡层,所述第二掩模层形成在所述第二阻挡层之上。
7.根据权利要求6所述的方法,其特征在于,在剩余的第二电介质层的至少一部分之上形成第二掩模层之前,还包括:
在所述第二阻挡层上形成第二籽晶层,所述第二掩模层形成在所述第二籽晶层上。
8.根据权利要求1所述的方法,其特征在于,通过电镀的方式沉积所述第一金属层以及通过电镀的方式在所述沟槽中填充第二金属层。
9.根据权利要求1所述的方法,其特征在于,所述第一金属层和所述第二金属层包括铜。
10.根据权利要求2所述的方法,其特征在于,所述第一掩模层和所述第二掩模层包括光刻胶。
11.根据权利要求1所述的方法,其特征在于,所述开口包括延伸到所述第一电介质层中的沟槽和位于该沟槽下的至少一个通孔。
12.一种互连结构,其特征在于,包括:
衬底;
在所述衬底上与所述衬底非一体设置的第一电介质层,所述第一电介质层具有延伸到所述衬底的用于第一互连层的开口;
填充所述开口并覆盖所述第一电介质层与所述开口邻接的区域的第一金属层,其中,所述第一金属层覆盖所述第一电介质层与所述开口邻接的区域的部分作为第二互连层的下部;
在所述第一电介质层和所述第一金属层上的第二电介质层,所述第二电介质层具有用于所述第二互连层的沟槽,所述沟槽使得所述第二互连层的下部露出;以及
填充所述沟槽的第二金属层。
13.根据权利要求12所述的互连结构,其特征在于,还包括:
位于所述开口的底部和侧壁上的第一阻挡层。
14.根据权利要求13所述的互连结构,其特征在于,还包括:
位于所述第一阻挡层与所述第一金属层之间的第一籽晶层。
15.根据权利要求12所述的互连结构,其特征在于,还包括:
位于所述沟槽的底部和侧壁上的第二阻挡层。
16.根据权利要求15所述的互连结构,其特征在于,还包括:
位于所述第二阻挡层与所述第二金属层之间的第二籽晶层。
17.根据权利要求12所述的互连结构,其特征在于,所述第一金属层和所述第二金属层包括铜。
18.根据权利要求12所述的互连结构,其特征在于,所述开口包括延伸到所述第一电介质层中的沟槽和位于该沟槽下的至少一个通孔。
CN201611066883.1A 2016-11-29 2016-11-29 互连结构及其制造方法 Active CN108122820B (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN201611066883.1A CN108122820B (zh) 2016-11-29 2016-11-29 互连结构及其制造方法
US15/716,261 US10553536B2 (en) 2016-11-29 2017-09-26 Method of manufacturing an interconnect structure by forming metal layers in mask openings
EP17203759.0A EP3327762B1 (en) 2016-11-29 2017-11-27 Interconnect structure and manufacturing method thereof
US16/734,610 US11373949B2 (en) 2016-11-29 2020-01-06 Interconnect structure having metal layers enclosing a dielectric

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201611066883.1A CN108122820B (zh) 2016-11-29 2016-11-29 互连结构及其制造方法

Publications (2)

Publication Number Publication Date
CN108122820A CN108122820A (zh) 2018-06-05
CN108122820B true CN108122820B (zh) 2020-06-02

Family

ID=60473395

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201611066883.1A Active CN108122820B (zh) 2016-11-29 2016-11-29 互连结构及其制造方法

Country Status (3)

Country Link
US (2) US10553536B2 (zh)
EP (1) EP3327762B1 (zh)
CN (1) CN108122820B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3671821A1 (en) * 2018-12-19 2020-06-24 IMEC vzw Interconnection system of an integrated circuit

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0226385A1 (en) * 1985-12-02 1987-06-24 Tektronix, Inc. Rhodium capped gold IC metallization
CN1242107A (zh) * 1996-12-16 2000-01-19 国际商业机器公司 集成电路芯片上的电镀互连结构
CN1412821A (zh) * 2001-10-15 2003-04-23 新光电气工业株式会社 在硅基片上形成通孔或凹陷的方法
CN1885524A (zh) * 2005-06-24 2006-12-27 米辑电子股份有限公司 线路组件结构制造方法及其结构
CN105789114A (zh) * 2012-09-24 2016-07-20 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN107026115A (zh) * 2015-12-15 2017-08-08 台湾积体电路制造股份有限公司 至部分填充的沟槽的通孔互连件

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW290731B (zh) * 1995-03-30 1996-11-11 Siemens Ag
JP3228181B2 (ja) * 1997-05-12 2001-11-12 ヤマハ株式会社 平坦配線形成法
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
US6359328B1 (en) * 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6352917B1 (en) 2000-06-21 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Reversed damascene process for multiple level metal interconnects
US6426558B1 (en) * 2001-05-14 2002-07-30 International Business Machines Corporation Metallurgy for semiconductor devices
US6670271B1 (en) * 2002-01-17 2003-12-30 Advanced Micro Devices, Inc. Growing a dual damascene structure using a copper seed layer and a damascene resist structure
US7026244B2 (en) * 2003-08-08 2006-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance and reliable copper interconnects by variable doping
US7169698B2 (en) * 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
WO2005109485A2 (en) * 2004-05-06 2005-11-17 Etech Ag Metallic air-bridges
US7582556B2 (en) * 2005-06-24 2009-09-01 Megica Corporation Circuitry component and method for forming the same
US8569888B2 (en) * 2011-05-24 2013-10-29 International Business Machines Corporation Wiring structure and method of forming the structure
US9029260B2 (en) * 2011-06-16 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling method for dual damascene process
US8735283B2 (en) * 2011-06-23 2014-05-27 International Business Machines Corporation Method for forming small dimension openings in the organic masking layer of tri-layer lithography
US9093164B2 (en) * 2011-11-17 2015-07-28 International Business Machines Corporation Redundant via structure for metal fuse applications
KR102090210B1 (ko) * 2011-12-20 2020-03-17 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US8835305B2 (en) * 2012-07-31 2014-09-16 International Business Machines Corporation Method of fabricating a profile control in interconnect structures
US10319630B2 (en) * 2012-09-27 2019-06-11 Stmicroelectronics, Inc. Encapsulated damascene interconnect structure for integrated circuits
US9412648B1 (en) * 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch
US9818689B1 (en) * 2016-04-25 2017-11-14 Globalfoundries Inc. Metal-insulator-metal capacitor and methods of fabrication
US10755972B2 (en) * 2016-11-29 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0226385A1 (en) * 1985-12-02 1987-06-24 Tektronix, Inc. Rhodium capped gold IC metallization
CN1242107A (zh) * 1996-12-16 2000-01-19 国际商业机器公司 集成电路芯片上的电镀互连结构
CN1412821A (zh) * 2001-10-15 2003-04-23 新光电气工业株式会社 在硅基片上形成通孔或凹陷的方法
CN1885524A (zh) * 2005-06-24 2006-12-27 米辑电子股份有限公司 线路组件结构制造方法及其结构
CN105789114A (zh) * 2012-09-24 2016-07-20 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
CN107026115A (zh) * 2015-12-15 2017-08-08 台湾积体电路制造股份有限公司 至部分填充的沟槽的通孔互连件

Also Published As

Publication number Publication date
US11373949B2 (en) 2022-06-28
US20200144175A1 (en) 2020-05-07
US10553536B2 (en) 2020-02-04
US20180151488A1 (en) 2018-05-31
CN108122820A (zh) 2018-06-05
EP3327762A1 (en) 2018-05-30
EP3327762B1 (en) 2019-09-11

Similar Documents

Publication Publication Date Title
US9679805B2 (en) Self-aligned back end of line cut
CN108461477B (zh) 用于超(跳跃)通孔整合的金属互连
CN104733432B (zh) 集成电路
US20130260552A1 (en) Reverse Damascene Process
CN105830211A (zh) 使用光刻-冷冻-光刻-蚀刻工艺的细长接触件
TW201041035A (en) Integrated circuit structure
CN108183087B (zh) 用于形成应力降低装置的方法
US9257329B2 (en) Methods for fabricating integrated circuits including densifying interlevel dielectric layers
CN109411409B (zh) 金属化层及其制造方法
KR20150067748A (ko) 견고한 금속화 프로파일을 위한 이중층 하드 마스크
CN108122820B (zh) 互连结构及其制造方法
CN108109954B (zh) 互连结构的制造方法
US20120171877A1 (en) Integrated circuit chip and fabrication method
US20190214456A1 (en) Capacitors
US8853073B2 (en) Method for producing vias
US11488864B2 (en) Self-aligned supervia and metal direct etching process to manufacture self-aligned supervia
CN112017967B (zh) 背面金属化半导体结构及制备方法
CN115000043A (zh) 半导体结构及其制造方法
US20090321946A1 (en) Process for fabricating an integrated electronic circuit incorporating a process requiring a voltage threshold between a metal layer and a substrate
US20200066586A1 (en) Controlling back-end-of-line dimensions of semiconductor devices
US7189638B2 (en) Method for manufacturing metal structure using trench
US10340177B2 (en) Devices and methods of reducing damage during BEOL M1 integration
US6576555B2 (en) Method of making upper conductive line in dual damascene having lower copper lines
KR20100011121A (ko) 반도체 소자 및 그의 제조 방법
JP2005197694A (ja) 半導体集積回路の配線製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
TA01 Transfer of patent application right

Effective date of registration: 20180606

Address after: No. 18 Zhangjiang Road, Pudong New Area, Shanghai

Applicant after: Semiconductor Manufacturing International (Shanghai) Corporation

Applicant after: Core integrated circuit (Ningbo) Co., Ltd.

Address before: No. 18 Zhangjiang Road, Pudong New Area, Shanghai

Applicant before: Semiconductor Manufacturing International (Shanghai) Corporation

Applicant before: Semiconductor Manufacturing International (Beijing) Corporation

TA01 Transfer of patent application right
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant