CN113161284A - 用于制造互连结构的方法 - Google Patents

用于制造互连结构的方法 Download PDF

Info

Publication number
CN113161284A
CN113161284A CN202010013805.5A CN202010013805A CN113161284A CN 113161284 A CN113161284 A CN 113161284A CN 202010013805 A CN202010013805 A CN 202010013805A CN 113161284 A CN113161284 A CN 113161284A
Authority
CN
China
Prior art keywords
layer
hard mask
opening
metal hard
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010013805.5A
Other languages
English (en)
Inventor
徐民翰
曹荣志
陈俊彰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiji Telecom Nanjing Co ltd
TSMC Nanjing Co Ltd
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiji Telecom Nanjing Co ltd
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiji Telecom Nanjing Co ltd, Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiji Telecom Nanjing Co ltd
Priority to CN202010013805.5A priority Critical patent/CN113161284A/zh
Priority to US16/801,706 priority patent/US11450557B2/en
Priority to TW109116978A priority patent/TWI729821B/zh
Publication of CN113161284A publication Critical patent/CN113161284A/zh
Priority to US17/816,051 priority patent/US20220384253A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本公开涉及用于制造互连结构的方法。一种制造双镶嵌互连的方法,包括以下操作:在电介质层上方沉积金属硬掩模;在金属硬掩模中蚀刻金属硬掩模开口以暴露电介质层的顶表面;在电介质层中蚀刻至少一个互连开口,以暴露基底导电层的顶表面;修改金属硬掩模开口的侧壁;以及在金属硬掩模开口和至少一个互连开口中沉积导电材料。

Description

用于制造互连结构的方法
技术领域
本公开涉及用于制造互连结构的方法。
背景技术
双镶嵌互连(dual damascene interconnects)提供了集成电路的各个层之间的可靠互连。互连中的空隙会增加电阻并且降低集成电路的整体可靠性,并且会降低器件的时钟速度。
发明内容
根据本公开的一个实施例,提供了一种制造互连结构的方法,包括:在电介质层上方沉积金属硬掩模;在所述金属硬掩模中蚀刻金属硬掩模开口,以暴露所述电介质层的顶表面;在所述电介质层中蚀刻至少一个互连开口,以暴露基底导电层的顶表面;通过将非金属原子添加至所述金属硬掩模的金属性层来修改所述金属硬掩模开口的侧壁;以及在所述金属硬掩模开口和所述至少一个互连开口中沉积导电材料。
根据本公开的另一实施例,提供了一种制造互连结构的方法,包括:在第一铜互连结构上方沉积电介质层;在所述电介质层上方沉积金属硬掩模;在所述金属硬掩模中蚀刻金属硬掩模开口;在所述电介质层中蚀刻互连结构开口的第一部分;在所述电介质层中蚀刻所述互连结构开口的第二部分;通过对所述金属硬掩模进行热处理来修改所述金属硬掩模开口的侧壁;以及穿过所述金属硬掩模开口在所述互连结构开口中沉积导电材料。
根据本公开的又一实施例,提供了一种制造互连结构的方法,包括:在衬底上方沉积电介质层;在所述电介质层上方沉积硬掩模层;穿过硬掩模层开口来暴露所述电介质层的顶表面;在所述电介质层中蚀刻互连开口;修改所述硬掩模层开口的侧壁;以及穿过具有经修改的侧壁的所述硬掩模层开口来利用导电材料填充所述互连开口。
附图说明
在结合附图阅读下面的具体实施方式时,可以从下面的具体实施方式中最佳地理解本公开。应当注意,根据行业的标准做法,各种特征不是按比例绘制的。事实上,为了讨论的清楚起见,各种特征的尺寸可能被任意增大或减小。
图1A-图1B是根据一些实施例的在制造工艺期间的半导体器件的横截面视图。
图2是根据一些实施例的在制造工艺期间具有倾斜的侧壁轮廓的半导体器件的横截面视图。
图3是根据一些实施例的在制造工艺期间具有铜互连的半导体器件的横截面视图。
图4是根据一些实施例的在制造工艺期间具有铜互连的半导体器件的横截面视图。
图5是根据一些实施例的制造铜互连的方法的流程图。
图6是根据一些实施例的在制造工艺期间的集成电路的多个层的横截面视图。
具体实施方式
下面的公开内容提供了用于实现所提供的主题的不同特征的许多不同实施例或示例。下文描述了组件、值、操作、材料、布置等的具体示例以简化本公开。当然,这些仅仅是示例而不意图是限制性的。考虑其他组件、值、操作、材料、布置等。例如,在下面的说明中,在第二特征上方或之上形成第一特征可以包括以直接接触的方式形成第一特征和第二特征的实施例,并且还可以包括可以在第一特征和第二特征之间形成附加特征以使得第一特征和第二特征可以不直接接触的实施例。此外,本公开在各个示例中可能重复参考标号和/或字母。这种重复是为了简单性和清楚性的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
此外,本文中可能使用了空间相关术语(例如“下方”、“之下”、“低于”、“以上”、“上部”等),以易于描述图中所示的一个要素或特征相对于另一个(一些)要素或特征的关系。这些空间相关术语意在涵盖器件在使用或工作中除了图中所示朝向之外的不同朝向。装置可能以其他方式定向(旋转了90度或处于其他朝向),并且本文中所用的空间相关描述符同样可能被相应地解释。
在制造具有双镶嵌互连的半导体器件或集成电路时,添加至双镶嵌结构开口的导电材料在至少两个工艺中被添加。在一层或多层电介质材料中形成沟槽和通孔开口之后,将一层种子材料沉积到沟槽和通孔开口中。在将块状导电材料添加到双镶嵌结构之前,还将一层种子材料沉积在双镶嵌结构开口的底部处的下面的导电材料上。在一些情况下,将种子材料或种子层溅射到双镶嵌结构的侧壁上以及下面的导电材料的经暴露的部分上。在一些实施例中,种子层包括纯铜或铜合金。通过电镀将块状导电材料填充到双镶嵌结构开口中,这产生填充的双镶嵌结构和位于管芯的形成双镶嵌结构的顶表面上的一层导电材料。在一些实施例中,经电镀的块状导电材料是铜或铜合金。在一些实施例中,种子层和经电镀的块状导电材料是相同的合金。在一些情况下,种子层和经电镀的块状导电材料是不同的材料,以促进种子层粘附到位于双镶嵌结构的侧壁上的衬里(liner)和/或减少经电镀的块状导电材料中的空隙。
因为导电材料的电镀对材料向开口(例如,双金属镶嵌结构)的扩散速率敏感,所以在电镀工艺期间,促进非均匀种子层沉积的障碍物或特征会影响导电材料的溶解离子向双金属镶嵌结构的流动。对导电材料的离子进入开口的限制使得在所得互连结构中形成空隙,同时互连结构的电阻增加超过集成电路的阈值互连结构电阻。
减少和/或消除互连结构中的空隙使得包括互连结构的集成电路的电阻总体降低。较低的电阻还促成集成电路的晶体管的更快总切换时间。
图1是根据一些实施例的在制造工艺期间的半导体器件100的横截面视图。图5是根据一些实施例的制造铜互连的方法500的流程图。方法500的操作在下面被描述,并且该描述始终参考(作为本公开的实施例的代表的)图1-图4的双镶嵌沟槽结构和铜互连结构的元件。
半导体器件100包括位于基底导电层114上方的双镶嵌结构101。蚀刻停止层112位于基底导电层114上方,并且电介质层110在蚀刻停止层112上方。在一些实施例中,基底导电层114是接触。在一些实施例中,基底导电层114是金属线。在一些情况下,基底导电层114是位于集成电路的较低层中的双金属镶嵌型互连。因此,通过溅射或电镀形成基底导电层堆叠,以适应被填充的开口的轮廓和在制造集成电路中使用的集成方案。在一些实施例中,基底导电层是位于半导体器件的较低层中的接触。在一些实施例中,基底导电层是位于半导体器件的较低层上的金属线。在一些实施例中,基底导电层是位于半导体器件的不同层中的双镶嵌结构。
蚀刻停止层112包括一层或多层电介质材料,具有对蚀刻工艺的较低蚀刻选择性(与电介质层110相比,在电介质层中形成互连开口的蚀刻条件下)。在一些情况下,蚀刻停止层由沉积在下部电介质层上并且直接位于电介质层110下方的一层或多层氮化硅或氮氧化硅构成。
电介质层110是沉积在蚀刻停止层112上方的层间电介质层(ILD)。蚀刻电介质层110以形成用于在其中形成互连结构的开口。互连结构包括双镶嵌互连结构,具有通孔区域和条状(bar)/沟槽区域。双镶嵌结构101具有位于上部区域116C中的沟槽开口和位于下部区域116D中的两个或多个通孔开口。根据一些实施例,上部区域116C的厚度和下部区域116D的厚度是近似相同的厚度。在一些实施例中,上部区域116C和下部区域116D的厚度是不同的厚度。在一些实施例中,上部区域116C的厚度小于下部区域116D的厚度。
电介质层110包括二氧化硅、旋涂玻璃、硼磷旋涂玻璃(BPSG)、氟化二氧化硅玻璃(FSG)、或有机低K电介质材料(包括例如硅、氧、碳、和氢)。具有有机低k电介质材料的集成电路的非限制性示例包括低k电介质材料,例如,BLACK
Figure BDA0002358100870000041
多孔硅等。用于电介质层110的材料取决于电介质层中相邻线(或沟槽)之间的间隔和宽度。二氧化硅是电介质材料的一个示例,其通过化学气相沉积(CVD)被沉积在接触蚀刻停止层(CESL)上。化学气相沉积技术包括等离子体增强化学气相沉积(PE-CVD)、高压化学气相沉积(HP-CVD)等。通过各种方法将BPSG、FSG、和各种低K电介质材料沉积到接触蚀刻停止层上,包括沉积液态基体,然后旋转晶圆以移除多余的材料,在衬底上留下电介质材料的薄膜。通过与PE-CVD相似的技术,将低K电介质材料的一些示例沉积到接触蚀刻停止层或其他衬底上,其中电介质材料是在发生沉积的衬底上方的等离子体中发生化学反应的结果。
在一些实施例中,电介质层110是在一个工艺中沉积的单层膜。在一些实施例中,电介质层110包括沉积在蚀刻停止层112上方的多种电介质材料。金属硬掩模108的抗反射层106位于电介质层110上方。在一些实施例中,抗反射层106直接抵靠电介质层110的顶表面。双镶嵌结构101包括两个开口116A和116B,该两个开口116A和116B延伸穿过金属硬掩模108、电介质层110、和蚀刻停止层112以暴露基底导电层114的顶表面。
方法500包括操作505,其中在电介质层110上方沉积金属硬掩模(例如,金属硬掩模108)。金属硬掩模108包括抗反射层102、金属性层104A(例如,金属氮化物层)和抗反射层106。在一些实施例中,金属硬掩模包括单个抗反射层和金属性层,而不是两个抗反射层和金属性层。在金属硬掩模中存在两个抗反射层时,第一抗反射层位于金属性层上方,而第二抗反射层位于金属性层下方。在双镶嵌结构101中,位于金属性层104A上方和下方的抗反射层102和106被用于改进对金属性层的光刻。改进的光刻技术包括开口宽度中增加的均匀性、开口边缘的改进的平直度、以及更垂直的开口侧壁。在一些实施例中,单个抗反射层存在于金属性层上方。在一些实施例中,单个抗反射层存在于金属性层下方。抗反射层调节光刻工艺以实现金属性层或下面的电介质层的侧壁的均匀线形和轮廓。
包括在金属硬掩模中的抗反射层的数量取决于穿过金属硬掩模并且在下面的电介质层中形成开口相关联的困难性。对于电介质层和金属硬掩模的一些组合,位于金属性层下方的单个抗反射层足以保持开口的均匀侧壁轮廓和线形。在穿过氮化物层或位于电介质层中的开口的侧壁具有横向振动或波浪形时,第二抗反射层被包括以改进穿过金属性层并且进入电介质层的开口的形状。
根据一些实施例,金属性层104A是氮化钛层,尽管在本公开的范围内也可以设想其他金属的氮化物。用于制造硬掩模的氮化物层的其他金属包括但不限于钛、锆、钽、铌、钒、钨、铬、钴、镍、硅、和锌。
金属性层104A具有在约10nm至约100nm的范围内的厚度。大于100nm的金属性层的厚度增加了制造集成电路的成本,而没有在改进双镶嵌结构的沟槽或通孔的线平滑度方面产生附加益处。小于10nm厚的金属性层不能抵抗蚀刻工艺足够长的时间,不足以充分减少或防止双镶嵌结构的沟槽或通孔的意外线粗糙度。此外,小于10nm厚的金属性层表现出厚度变化,该厚度变化影响穿透金属硬掩模层的蚀刻时间,而不会改变蚀刻进入下面的电介质层中的深度。在金属硬掩模蚀刻工艺穿透金属硬掩模并且进入电介质层时,互连开口的沟槽部分的深度跨管芯不均匀,使得互连结构电阻与整个电路的电路规格产生偏差。
抗反射层102被沉积在电介质层110上方或金属性层104A的顶部上,以创建通过光刻技术显影的更均匀的结构。抗反射层减少和/或消除了摆动曲线、光致抗蚀剂中的驻波、以及经图案化的线的线宽变化。抗反射层(例如,抗反射层102、106)有助于产生具有满足集成电路的设计规范的电阻和其他电特性的互连。互连结构电性能与设计规范的偏差会对集成电路产生不利影响。这样的偏差包括增加的电阻、较慢的切换时间、寄生电容、以及电迁移。抗反射层102、106各自具有约10nm至约100nm的厚度。在抗反射层与约10nm相比更薄时,在集成电路制造工艺中,抗反射层不能提供足够的保护以防止上述光刻缺陷。在抗反射层与约100nm相比更厚时,抗反射层不会提供附加好处,而会消耗更多的材料并且增加制造集成电路的复杂性。制造集成电路的增加的复杂性与制造工艺期间的对光致抗蚀剂进行显影、移除光致抗蚀剂、移除抗反射层、以及从表面清除残留颗粒的工艺相关联。在一些实施例中,抗反射层是BARC层、顶侧ARC层、或DARC层。在一些情况下,抗反射层不含氮。
方法500包括操作510,其中在金属硬掩模中蚀刻开口。硬掩模中的开口是通过以下操作形成的:在金属硬掩模的顶表面上沉积一层图案化材料(例如,光致抗蚀剂),在一层图案化材料内形成图案(例如,通过光刻、或电子束光刻),以及移除图案的一部分以暴露金属硬掩模的顶层。在金属硬掩模中蚀刻开口包括一个或多个等离子体蚀刻步骤,以移除硬掩模的暴露在覆盖图案化层的开口内的部分。基于金属硬掩模的材料和覆盖图案化层中的开口的尺寸,来调节用于移除金属硬掩模的部分的等离子体蚀刻步骤的化学、压力和功率设置。
方法500还包括操作515,其中在金属硬掩模下方形成至少一个互连开口。根据一些实施例,互连开口延伸穿过半导体膜堆叠中的金属硬掩模的两个层、电介质层、和CESL。互连开口是在多个步骤中形成的。其中形成互连开口的操作的一个步骤包括:至少一个蚀刻工艺,用于在金属硬掩模层(例如,金属硬掩模108)中形成一个或多个开口。在一些实施例中,用于形成互连开口的操作的一个步骤包括:在电介质层的上部中形成沟槽,然后形成延伸穿过电介质层的下部的通孔。在一些情况下,首先形成通孔,然后形成互连开口的沟槽部分。为了本讨论的目的,对用于形成互连开口的操作的描述将包括沟槽优先方案,如下文所述,尽管本公开也预想到了通孔优先方案。在一些实施例中,用于形成互连开口的操作的一个步骤还包括:在位于电介质层下方的CESL中形成开口,以暴露导电基底层。
在用于制造互连开口的沟槽优先方案中,金属硬掩模108中的开口116A、116B对应于要在电介质层110的上部116C中形成的沟槽的轮廓。在双镶嵌结构101中,上部116C包括位于电介质层110的上部中的开口和位于金属硬掩模108中的开口。
一旦在上部区域116C中已经形成沟槽,就移除残留在金属硬掩模108的顶表面上的任何残留图案化材料,并且在金属硬掩模的顶表面上以及在上部区域116C中的沟槽开口内沉积第二层图案化材料。在第二图案化步骤期间,从金属硬掩模108的顶表面或从上部区域116C内的区域移除第二图案化材料的部分,以在管芯表面上形成第二图案,以用于蚀刻通孔。在形成第二图案化材料中的图案之后,进行第二蚀刻工艺以在下部区域116D中形成通孔。如双镶嵌结构101所示,下部区域116D包括电介质材料110的下部和蚀刻停止层112。在用于在下部区域116D中形成通孔的蚀刻工艺之后,从管芯表面移除第二图案化材料。
双镶嵌结构101是未填充的结构,其中两个开口116A和116B延伸穿过金属硬掩模108以暴露一些基底导电层114。双镶嵌结构101的开口116A和116B在基底上方的位置处具有不同的直径。从金属硬掩模的顶表面向下移动,开口116A和116B在抗反射层102的水平处具有第一宽度118A,在金属性层104A的水平处具有第二宽度118B,在电介质层110和抗反射层106的界面处具有第三宽度118C,在开口116A和116B的沟槽区域116C的底部处具有第四宽度118D,在开口116A和116B的通孔区域116D的顶部处具有第五宽度118E,并且在通孔区域116D的底部处具有第六宽度118F。再次向下移动,第一宽度118A大于第二宽度118B,第二宽度118B大于第三宽度118C,第三宽度118C大于第四宽度118D,第四宽度118D大于第五宽度118E,并且第五宽度118E大于第六宽度118F。
抗反射层102的侧壁122A不与金属性层104A的侧壁122B垂直对准,如开口116A的不同宽度118A和118B所示。因此,在抗反射层102和金属性层104A之间的界面120处,设置台阶或“纽结(kink)”,使得金属性层的顶表面124暴露在双镶嵌结构的开口内。在暴露金属性层104A的顶表面124时,导电材料的种子层进入双镶嵌结构开口的沉积在台阶的经暴露的顶表面124处的位置处更快速地进行。因此,在种子层的沉积期间,种子层生长不均匀。种子层生长最快的位置发生在经暴露的顶表面124的位置处,使得在种子层沉积之后,双金属镶嵌结构的开口具有与双金属镶嵌结构的下部相比更小的直径。在本公开中,方法500描述了在没有上述非均匀种子层沉积的情况下形成互连结构的工艺。
根据一些实施例,方法500包括操作520,其中金属硬掩模的一部分被修改以产生倾斜的侧壁。在操作520中,通过以下操作来修改金属硬掩模:处理金属硬掩模以将非金属原子扩散到金属性层(或在一些实施例中,金属层)中,以便产生在材料堆叠中的不同位置处具有不同晶格常数的材料堆叠。随着材料的晶格常数改变,材料的尺寸也改变。在本公开中,向金属层或金属性层中添加非金属原子增加了晶格常数,并且对应于在掺入非金属原子时金属性层溶胀或膨胀。
处理金属硬掩模包括用于加热金属硬掩模的退火工艺和/或等离子体工艺。等离子体工艺还包括在工艺期间在半导体器件的表面上方生成电离原子,该电离原子渗透到金属硬掩模的一层或多层中,以改变金属硬掩模的组成和形状。如上所述,新层或经毒化的层(poisoned layer)包括金属性层和抗反射层两者的成分,并且具有倾斜的侧壁来代替金属性层104A的台阶或经暴露的上表面124。对层或材料进行毒化是指通过原子从相邻层扩散到经毒化的层中来对层进行修改。
图1B是根据一些实施例的在制造工艺期间的半导体器件150的横截面视图。与图1A的元件相对应的图1B的元件具有相同的附图标记。本领域普通技术人员将理解,本公开涉及与本文所示实施例不同的其他实施例,并且本文所描述的特征是为了方便起见来描述本公开的范围和制造半导体器件的方法。
图1B包括具有非金属原子处理的表面104C的金属硬掩模104B。根据一些实施例,金属硬掩模104B被沉积在电介质层110上方,并且随后用非金属原子处理以修改金属性层与一个或多个抗反射层之间的界面,使得金属硬掩模104B的一部分被转换为富含非金属原子的金属区域104C。在一些实施例中,将非金属原子添加至包括金属硬掩模的层的工艺被称为对该层进行毒化(poisoning)。在一些实施例中,通过以下操作使金属硬掩模104B富含非金属原子:在没有氧气的情况下将金属硬掩模104B的侧壁122B暴露于解离的非金属原子的等离子体中,使得等离子体中生成的原子朝向金属硬掩模的经暴露的表面加速,并且渗透到金属硬掩模中。在一些实施例中,非金属原子是氮原子。在一些实施例中,非金属原子是氮和氧的混合物。对于下面的讨论,讨论的非金属原子是氮,尽管在本公开中可以预想其他原子。
向金属硬掩模104中添加氮改变了金属硬掩模层的化学组成并且改变了材料的晶格常数。根据实施例,材料的晶格常数决定了材料中的应变量,因为材料在具有经修改的晶格的材料上方和下方的其他材料的层之间过渡。在一些实施例中,添加材料以修改材料的晶格常数会使得材料膨胀或增大尺寸,因为在修改之后(例如,向金属硬掩模添加氮),与修改之前相比,晶格常数更大。在一些实施例中,向金属硬掩模中添加非金属原子会缩小晶格常数,从而使得材料收缩。在一些实施例中,通过在抗反射层106被打开以暴露衬底110之前从富氮等离子体中添加氮,来对金属硬掩模进行“毒化”。在一些实施例中,通过在抗反射层106已经被打开并且衬底110暴露于等离子体之后从富氮等离子体中添加氮,来对金属硬掩模进行“毒化”。除了金属硬掩模层104B的经暴露的侧壁之外,将衬底110暴露于富氮等离子体还修改了衬底110的经暴露的部分。在打开抗反射层106之前对金属硬掩模层104B进行毒化,防止修改衬底110的化学成分和物理结构,使得蚀刻工艺形成互连开口(参见116A和116B)更均匀并且更快(与衬底富含氮相比)。
富含氮的区域104C覆盖金属硬掩模材料的位于开口116A中的整个经暴露的顶部和侧壁。富含氮的区域104D覆盖金属硬掩模材料的位于开口116B中的经暴露的顶部和侧壁的上部。金属硬掩模104B的侧壁上的富含氮的区域的覆盖程度随着用于生成解离的氮原子的等离子体的等离子体特性(例如,离子加速特性)而变化。随着蚀刻等离子体变得更加各向异性,侧壁覆盖度增加。
图2是根据一些实施例的在制造工艺期间具有倾斜的侧壁轮廓的半导体器件200的横截面视图。与上述图1的元件相似的图2的元件具有相同的附图标记(增加100)。金属硬掩模的侧壁通过以下操作被修改:对抗反射层和金属性层进行加热以使得来自抗反射层和金属性层的化合物彼此渗透。因此,在图1中彼此直接相对的抗反射层202和金属性层204在图2中被经毒化的层203彼此分开。抗反射层202具有近似垂直的侧壁221A。金属性层204具有近似垂直的侧壁221C。经毒化的层203具有不垂直但倾斜的经毒化的层侧壁221B,桥接侧壁221A和侧壁221C之间的空间,该侧壁221A和侧壁221C在横向上彼此分离。侧壁221C的斜率与电介质210中的沟槽区域的侧壁221D的斜率大致相同。在一些实施例中,侧壁221C的斜率与侧壁221D的斜率不同。侧壁221F是互连结构开口216A/216B的通孔区域的侧壁的上部,而侧壁221G是互连结构的通孔区域的下部的侧壁。基底连接器层214的经暴露的表面223位于互连结构216A和216B的底部。侧壁221E位于侧壁221D和221F之间。在一些实施例中,角度225在10度至70度的范围内,但是在本公开的范围内也可以考虑其他角度。根据理论和观念,具有小于20°的角度225的互连结构易于在对互连结构的金属填充中形成空隙。在一些实施例中,对于角度值小于20°,种子层沉积在互连结构的下部(例如,沿着侧壁221F的部分)变得零星或不均匀。在其中角度225小于20°的互连结构的实施例中,对互连结构的金属填充易于形成空隙,因为材料在侧壁221E和侧壁221F之间的拐角处不均匀累积。
在一些实施例中,修改金属硬掩模侧壁或对金属硬掩模的层进行毒化包括与在等离子体中对金属硬掩模进行退火和/或处理有关的一个或多个步骤。在通过退火发生金属硬掩模毒化时,退火步骤包括快速热处理(RTP)、脉冲激光退火、或有助于原子的层间扩散而不引起金属硬掩模的一层或多层熔化的其他快速退火工艺中的一个或多个。对金属硬掩模层的退火或快速热处理涉及将金属硬掩模加热到600摄氏度至900摄氏度的温度并且持续60秒的时间段。在一些实施例中,从600℃至700℃的升高温度被维持从40秒至60秒的时间段。在一些实施例中,从700℃至800℃的升高温度被维持从20秒至40秒的时间段。在一些实施例中,从800℃至900℃的升高温度被维持10秒到20秒的时间段。在一些实施方案中,从900℃至1000℃的升高温度被维持从1秒至10秒的时间段。在一些实施例中,为了在不使金属硬掩模层熔化的情况下促进原子的扩散,在高于1000℃的温度下执行热处理,并且在峰值温度下采用小于一秒的曝光时间。在一些情况下,激光退火工艺的峰值温度达到1300°以上,而曝光时间为毫秒或微秒级。
在一些实施例中,修改金属硬掩模侧壁包括在形成互连开口之后将金属硬掩模暴露于含氮等离子体。含氮等离子体在等离子体中生成氮离子,其污染经暴露的抗反射层涂层或侧壁,并且加热金属硬掩模以促进金属扩散出金属性层并且进入存在于金属硬掩模中的一个或多个抗反射层中。在金属硬掩模暴露于含氮等离子体期间,很少或没有蚀刻发生。而是,等离子体中的氮被离子化,并且氮离子与抗反射层的经暴露的部分反应。反射层(通常是有机层)易受等离子体或薄膜堆叠中相邻材料的氮吸收的影响。
修改金属硬掩模以在金属硬掩模中形成经毒化的层包括形成具有约0.1nm至约10nm的厚度的经毒化的层。小于0.1nm的经毒化的层没有足够的垂直厚度来弥合金属硬掩模中的抗反射层和金属性层的侧壁之间的横向间隙。厚度大于10nm的经毒化的层会增加金属硬掩模层熔化的风险,从而在将导电材料沉积到互连开口中之后,使得部分或完全移除金属硬掩模更难。经毒化的层(或经毒化的金属层)具有在0.25:1至1:1之间的金属与非金属原子的组成比。在金属组成比小于0.25:1时,经毒化的金属层的侧壁不具有避免种子层材料过度收集的斜率。在金属组成比大于1:1时,经毒化的金属层的侧壁具有避免种子层材料过度收集的斜率,但是经毒化的金属层的较大厚度不会促进种子层均匀性的降低。具有小于0.25:1的组成比的经毒化的金属层不能充分混合金属性层和抗反射层以实现与无空隙块状导电材料电镀相兼容的倾斜侧壁。
金属性层104A中的金属化合物具有化学式AxBy,其中A为金属,并且B为非金属原子。金属硬掩模的金属化合物(金属性层)具有在1:1至1:3之间的金属与非金属原子的组成比(x:y)。在金属性层的氮组成比小于1:1时,金属性层中不存在足够的氮以形成表现出减少的种子层材料收集的经毒化的金属性层。在金属性层的氮组成比大于1:3时,金属性层不具有足够的金属以与抗反射层形成经毒化的金属性层。在一些实施例中,金属A是钛(Ti),并且非金属原子是氮(N)。在一些实施例中,金属原子A是钛,并且非金属是氮和氧(O)的混合物,使得AxBy是TixNyOz。在一些实施例中,根据本领域技术人员已知的半导体制造工艺使用金属和非金属的其他组合。
方法500包括操作525,其中将导电材料沉积到电介质层中的至少一个开口中。在集成电路中制造双镶嵌结构时,导电材料在几个步骤中被沉积。操作525的一个步骤,将导电材料的种子层溅射到金属硬掩模308的顶表面上以及互连结构的侧壁221A-221G上。操作525的另一步骤包括将导电材料电镀至互连开口(电介质层中的至少一个开口)中。种子层(未示出)充当用于进行电镀工艺的一个电极。因为经毒化的层203具有倾斜的侧壁221B,所以在发生将导电材料电镀到互连开口中时,在互连内形成空隙或口袋的可能性较小,因为在互连开口的与经毒化的层203的水平相对应水平处没有的连结(junction)。
根据一些实施例,种子层(未示出)和经电镀的块状导电材料是相同的材料。在一些情况下,种子层和整体电镀导电材料是不同的材料。用于形成双镶嵌互连结构的导电材料包括铜和铜合金。铜合金包括与铝、锌和其他金属混合的铜,用于促进互连开口内材料的均匀电沉积并且减小电镀导电材料的晶粒尺寸。在操作525之后的一些实施例中,通过例如化学机械抛光(CMP)来移除电介质层上的一层或多层,以便准备用于沉积另一种电介质材料(例如,接触蚀刻停止层(用于例如双镶嵌结构)、或要在其中制造导线的电介质层)的集成电路。
图3是根据一些实施例的具有铜互连结构301的半导体器件300的横截面视图。与上面的图2的元件相似的图3的元件具有相同的附图标记(增加100)。在互连结构301中,导电材料覆盖双金属镶嵌结构开口的侧面以及金属硬掩模308的顶部。因此,互连结构318A和318B通过平面导电材料318C电连接。互连结构318A和316B具有线区域316C和通孔区域316D。每个互连结构的区域316D被电连接到基底导体314。
因为经毒化的层303具有倾斜的侧壁321B,所以将导电材料的种子层326(铜或铜合金)沉积到互连开口的侧壁上不会在互连开口的顶部产生收缩点。通过消除收缩在互连开口的顶部处的形成,互连结构318A和318B在其中不具有空隙或凹陷。在一些实施例中,在蚀刻穿过电介质材料之后,将种子层326沉积到互连开口中(参见图2的元件216A、216B),以防止基底导体314的经暴露的金属(例如,半导体器件的较低水平中的金属填充的通孔或沟槽)的氧化。通过在暴露基底导体314的金属之后立即沉积种子层,减少了基底导体上金属氧化物的形成,这减少了在发生金属填充之后互连的底部的界面处的电阻。在一些实施例中,种子层覆盖抗反射层302、经毒化的层303、金属硬掩模304、和抗反射层306的内侧壁。在一些实施例中,经毒化的层303是金属硬掩模304的内侧壁(参见图1B的元件104C)。在一些实施例中,经毒化的层是经暴露的金属硬掩模104材料的顶部部分(参见图1B的元件104D)。
图4是根据一些实施例的具有铜互连结构401的半导体器件400的横截面视图。方法500包括操作530,其中在操作530中形成的铜互连彼此电隔离。铜互连结构的电隔离是通过在管芯或半导体晶圆的顶表面上执行的化学机械抛光(CMP)工艺来实现的。当横向放置在互连(参见图4的互连418A和418B)之间的材料明显较少时,认为完成铜互连的电隔离。
在图4中,与先前在图1中描述的结构类似的元素共享相同的附图标记(增加300)。互连418A和互连418B延伸穿过金属硬掩模408、电介质材料410、蚀刻停止层412,以与基底导电层414进行电连接。互连418A具有上部418A1,该上部418A1在上部418A1的顶部具有第一宽度W1。第一宽度对应于上部418A1的最上部分,该最上部分与经毒化的层403相比处于距衬底410的相同垂直距离处。第一宽度W1大于上部418A1的其余部分的宽度。在互连结构开口的沟槽部分中形成双镶嵌互连结构418A和418B的条状区域416C。互连结构418A和418B的通孔区域416D延伸穿过电介质层410的下部区域。根据金属性层的材料,图4所示的金属硬掩模408可选地从电介质层410的顶表面被移除。在一些实施例中,金属硬掩模408包括氮化硅层,并且在从金属硬掩模的顶表面移除块状导电材料(例如,经电镀的铜)之后,金属硬掩模保留,从而使双镶嵌互连结构彼此隔离。氮化硅层不具有足够高的导电性以允许电流在互连结构之间流动。包括例如氮化钛的金属性层具有足够的导电性,使得该金属性层被移除以防止互连结构之间的泄漏电流。通过例如化学机械抛光(CMP)从电介质层410的顶表面移除金属性层。
图6是根据一些实施例的在制造工艺期间的集成电路600的各个层的横截面视图。本公开涉及通过以下方法形成的集成电路,该方法在利用金属填充互连开口以形成互连结构(例如,集成电路的沟槽和层的双镶嵌结构中的通孔)之前使集成电路互连开口的侧壁光滑。集成电路600在第一层602和第二层604之间具有界面606。在一些实施例中,第一层是金属性层,并且第二层是抗反射层。在一些实施例中,第一层是抗反射层,并且第二层是金属性层。金属性层是例如金属氮化物或金属氮氧化物层的层。在结构601中,在热处理以平滑侧壁之前描绘了第一层和第二层。结构610描述了在结构经历热处理之后的第一层612(与第一层602相比)和第二层614(与第二层604相比)。结构601的热处理在界面601的位置处生成“经毒化的”层,其中来自第一层和第二层的材料相互扩散以形成第三材料或界面层616,其特性介于第一层612和第二层614的特性之间。介于第一层612和第二层614的特性之间的界面层616的特性是(1)化学组成和(2)晶格常数。界面层的化学组成从位于界面层的顶侧的第一层612的组成到位于界面层的底侧的第二层614的化学组成。化学成分在界面层616的垂直厚度上的变化使得界面膜的晶格常数在界面的垂直厚度上变化。通过使两层薄膜的材料彼此扩散,中间层将侧壁轮廓从第一层平滑过渡到第二层,以移除与互连层开口(在金属沉积之前)中的位于金属硬掩模(例如,金属/金属性层、和一个抗反射层)的不同层处的不同开口直径相关联的扭结。在一些实施例中,第一层是金属性层(金属层、或金属氮化物层),并且第二层是抗反射层。在一些实施例中,第一层是抗反射层,并且第二层是金属性层。无论金属性层的抗反射层是否位于膜堆叠的顶部,在本公开的方法中描述的热处理均用于使金属性层和抗反射层的界面处的纽结平滑。
一种方法,包括以下操作:在电介质层上方沉积金属硬掩模;在金属硬掩模中蚀刻金属硬掩模开口,以暴露电介质层的顶表面;在电介质层中蚀刻至少一个互连开口,以暴露基底导电层的顶表面;修改金属硬掩模开口的侧壁;在金属硬掩模开口和至少一个互连开口中沉积导电材料。在一些实施例中,方法进一步包括:移除导电材料的位于金属硬掩模的顶表面上的部分。在一些实施例中,方法还包括:移除金属硬掩模以使至少一个互连开口中的每个互连开口中的导电材料电隔离。在一些实施例中,沉积金属硬掩模还包括:在电介质层上方沉积第一抗反射层;以及在电介质层上方沉积金属性层。在一些实施例中,方法还包括:在电介质层上方沉积第二抗反射层,使得金属性层位于第一抗反射层与第二抗反射层之间。在一些实施例中,蚀刻至少一个互连开口还包括:穿过金属硬掩模开口在电介质层中蚀刻至少一个沟槽;在金属硬掩模上方和至少一个沟槽中沉积一层掩模材料;对掩模材料进行图案化以在至少一个沟槽中形成穿过掩模材料的开口;穿过电介质层蚀刻至少一个通孔,以暴露位于电介质层下方的基底导电层。在一些实施例中,沉积导电材料还包括:在金属硬掩模的侧壁、至少一个沟槽的沟槽侧壁、和至少一个通孔的通孔侧壁上沉积种子层。在一些实施例中,修改金属硬掩模开口的侧壁还包括:对金属硬掩模进行退火以将金属性层的金属原子扩散至第一抗反射层中,其中,金属性层位于第一抗反射层与电介质层之间。在一些实施例中,修改金属硬掩模开口的侧壁还包括:将第一抗反射层和金属性层暴露于含氮等离子体。
本公开的各方面涉及一种制造互连结构的方法,包括:在第一铜互连结构上方沉积电介质层;在电介质层上方沉积金属硬掩模;在金属硬掩模中蚀刻金属硬掩模开口;在电介质层中蚀刻互连结构开口的第一部分;在电介质层中蚀刻互连结构开口的的第二部分;对位于电介质层上方的金属硬掩模的层进行毒化;穿过金属硬掩模开口在互连结构开口中沉积导电材料。在一些实施例中,沉积金属硬掩模还包括:在位于电介质层上方的金属性层上沉积第一抗反射层。在一些实施例中,对金属硬掩模的层进行毒化还包括:对金属硬掩模进行退火以使金属性层和第一抗反射层彼此扩散。在一些实施例中,对金属硬掩模的层进行毒化还包括:将金属硬掩模的层暴露于含氮等离子体。在一些实施例中,沉积导电材料还包括:在经毒化的金属硬掩模的侧壁和互连结构开口的位于电介质层中的侧壁上溅射铜种子层。在一些实施例中,在电介质层中蚀刻互连结构开口的第二部分还包括:在金属硬掩模和互连结构开口的第一部分上方沉积掩模层;对掩模层进行图案化以使得开口延伸到互连结构的第一部分中;以及蚀刻电介质层的下部。
本公开的方面涉及一种器件,包括:金属硬掩模层,位于电介质层上方;以及互连结构,延伸穿过金属硬掩模层和电介质层并且电连接到位于电介质层下方的基底导电层;其中,金属硬掩模层中具有经毒化的层。在器件的一些实施例中,金属硬掩模还包括:金属性层,抵靠经毒化的层。在一些实施例中,互连结构在金属硬掩模的顶层具有第一直径,在金属硬掩模的金属性层具有第二直径,并且在金属硬掩模的经毒化的层具有第三直径,其中,第三直径小于第一直径并且大于第二直径。在一些实施例中,互连结构还包括铜或铜合金。在一些实施例中,金属性层还包括氮化钛。
以上概述了若干实施例的特征,使得本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改其他工艺和结构以实现本文介绍的实施例的相同目的和/或实现本文介绍的实施例的相同优点的基础。本领域技术人员还应该认识到,这样的等同构造不脱离本公开的精神和范围,并且他们可以在不脱离本公开的精神和范围的情况下在本文中进行各种改变、替换和变更。
示例1.一种制造互连结构的方法,包括:在电介质层上方沉积金属硬掩模;在所述金属硬掩模中蚀刻金属硬掩模开口,以暴露所述电介质层的顶表面;在所述电介质层中蚀刻至少一个互连开口,以暴露基底导电层的顶表面;通过将非金属原子添加至所述金属硬掩模的金属性层来修改所述金属硬掩模开口的侧壁;以及在所述金属硬掩模开口和所述至少一个互连开口中沉积导电材料。
示例2.根据示例1所述的方法,还包括:移除所述导电材料的位于所述金属硬掩模的顶表面上的部分。
示例3.根据示例2所述的方法,还包括:移除所述金属硬掩模。
示例4.根据示例1所述的方法,其中,沉积金属硬掩模还包括:在所述电介质层上方沉积第一抗反射层;以及在所述电介质层上方沉积所述金属性层。
示例5.根据示例4所述的方法,其中,修改所述金属硬掩模开口的侧壁还包括:对所述金属硬掩模进行退火以将所述金属性层的金属原子扩散至所述第一抗反射层中,其中,所述金属性层位于所述第一抗反射层与所述电介质层之间。
示例6.根据示例4所述的方法,其中,修改所述金属硬掩模开口的侧壁还包括:将所述第一抗反射层和所述金属性层暴露于含氮等离子体。
示例7.根据示例4所述的方法,还包括:在所述电介质层上方沉积第二抗反射层,使得所述金属性层位于所述第一抗反射层与所述第二抗反射层之间。
示例8.根据示例1所述的方法,其中,蚀刻所述至少一个互连开口还包括:穿过所述金属硬掩模开口在所述电介质层中蚀刻至少一个沟槽;在所述金属硬掩模上方和所述至少一个沟槽中沉积一层掩模材料;对所述掩模材料进行图案化以在所述至少一个沟槽中形成穿过所述掩模材料的开口;以及穿过所述电介质层蚀刻至少一个通孔,以暴露位于所述电介质层下方的所述基底导电层。
示例9.根据示例1所述的方法,还包括:通过对所述金属硬掩模层进行毒化来改变所述金属硬掩模层的斜率。
示例10.一种制造互连结构的方法,包括:在第一铜互连结构上方沉积电介质层;在所述电介质层上方沉积金属硬掩模;在所述金属硬掩模中蚀刻金属硬掩模开口;在所述电介质层中蚀刻互连结构开口的第一部分;在所述电介质层中蚀刻所述互连结构开口的第二部分;通过对所述金属硬掩模进行热处理来修改所述金属硬掩模开口的侧壁;以及穿过所述金属硬掩模开口在所述互连结构开口中沉积导电材料。
示例11.根据示例10所述的方法,其中,沉积所述金属硬掩模还包括:在所述电介质层上沉积第一抗反射层,在所述第一抗反射层上方沉积金属性层,以及在所述金属性层上方沉积第二抗反射层。
示例12.根据示例11所述的方法,其中,对所述金属硬掩模进行热处理还包括:将所述金属硬掩模退火至在600摄氏度与1000摄氏度之间的温度并且持续从60秒至1秒的范围内的退火时间。
示例13.根据示例10所述的方法,其中,毒化所述金属硬掩模的层还包括:将所述金属硬掩模的层暴露于含氮等离子体。
示例14.根据示例10所述的方法,其中,沉积导电材料还包括:在经毒化的金属硬掩模的侧壁和所述互连结构开口的位于所述电介质层中的侧壁上溅射铜种子层。
示例5.根据示例10所述的方法,还包括:通过热处理来改变所述金属硬掩模层的侧壁的斜率。
示例16.一种制造互连结构的方法,包括:在衬底上方沉积电介质层;在所述电介质层上方沉积硬掩模层;穿过硬掩模层开口来暴露所述电介质层的顶表面;在所述电介质层中蚀刻互连开口;修改所述硬掩模层开口的侧壁;以及穿过具有经修改的侧壁的所述硬掩模层开口来利用导电材料填充所述互连开口。
示例17.根据示例16所述的方法,其中,沉积所述硬掩模层还包括:在所述电介质层上方沉积抗反射层,以及在所述电介质层上方沉积金属性层。
示例18.根据示例17所述的方法,其中,修改所述硬掩模层开口的侧壁还包括:所述抗反射层和所述金属性层的层间扩散。
示例19.根据示例16所述的方法,其中,修改所述硬掩模层开口的侧壁还包括:对所述硬掩模层进行退火。
示例20.根据示例18所述的方法,其中,填充所述互连开口还包括:在电介质层侧壁和具有经修改的侧壁的所述硬掩模层开口上沉积种子层;以及在所述种子层上方电镀导电材料。

Claims (10)

1.一种制造互连结构的方法,包括:
在电介质层上方沉积金属硬掩模;
在所述金属硬掩模中蚀刻金属硬掩模开口,以暴露所述电介质层的顶表面;
在所述电介质层中蚀刻至少一个互连开口,以暴露基底导电层的顶表面;
通过将非金属原子添加至所述金属硬掩模的金属性层来修改所述金属硬掩模开口的侧壁;以及
在所述金属硬掩模开口和所述至少一个互连开口中沉积导电材料。
2.根据权利要求1所述的方法,还包括:移除所述导电材料的位于所述金属硬掩模的顶表面上的部分。
3.根据权利要求2所述的方法,还包括:移除所述金属硬掩模。
4.根据权利要求1所述的方法,其中,沉积金属硬掩模还包括:
在所述电介质层上方沉积第一抗反射层;以及
在所述电介质层上方沉积所述金属性层。
5.根据权利要求4所述的方法,其中,修改所述金属硬掩模开口的侧壁还包括:对所述金属硬掩模进行退火以将所述金属性层的金属原子扩散至所述第一抗反射层中,其中,所述金属性层位于所述第一抗反射层与所述电介质层之间。
6.根据权利要求4所述的方法,其中,修改所述金属硬掩模开口的侧壁还包括:将所述第一抗反射层和所述金属性层暴露于含氮等离子体。
7.根据权利要求4所述的方法,还包括:在所述电介质层上方沉积第二抗反射层,使得所述金属性层位于所述第一抗反射层与所述第二抗反射层之间。
8.根据权利要求1所述的方法,其中,蚀刻所述至少一个互连开口还包括:
穿过所述金属硬掩模开口在所述电介质层中蚀刻至少一个沟槽;
在所述金属硬掩模上方和所述至少一个沟槽中沉积一层掩模材料;
对所述掩模材料进行图案化以在所述至少一个沟槽中形成穿过所述掩模材料的开口;以及
穿过所述电介质层蚀刻至少一个通孔,以暴露位于所述电介质层下方的所述基底导电层。
9.一种制造互连结构的方法,包括:
在第一铜互连结构上方沉积电介质层;
在所述电介质层上方沉积金属硬掩模;
在所述金属硬掩模中蚀刻金属硬掩模开口;
在所述电介质层中蚀刻互连结构开口的第一部分;
在所述电介质层中蚀刻所述互连结构开口的第二部分;
通过对所述金属硬掩模进行热处理来修改所述金属硬掩模开口的侧壁;以及
穿过所述金属硬掩模开口在所述互连结构开口中沉积导电材料。
10.一种制造互连结构的方法,包括:
在衬底上方沉积电介质层;
在所述电介质层上方沉积硬掩模层;
穿过硬掩模层开口来暴露所述电介质层的顶表面;
在所述电介质层中蚀刻互连开口;
修改所述硬掩模层开口的侧壁;以及
穿过具有经修改的侧壁的所述硬掩模层开口来利用导电材料填充所述互连开口。
CN202010013805.5A 2020-01-07 2020-01-07 用于制造互连结构的方法 Pending CN113161284A (zh)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN202010013805.5A CN113161284A (zh) 2020-01-07 2020-01-07 用于制造互连结构的方法
US16/801,706 US11450557B2 (en) 2020-01-07 2020-02-26 Poisoned metal layer with sloped sidewall for making dual damascene interconnect
TW109116978A TWI729821B (zh) 2020-01-07 2020-05-21 製造互連結構的方法
US17/816,051 US20220384253A1 (en) 2020-01-07 2022-07-29 Method of making a semiconductor device and semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010013805.5A CN113161284A (zh) 2020-01-07 2020-01-07 用于制造互连结构的方法

Publications (1)

Publication Number Publication Date
CN113161284A true CN113161284A (zh) 2021-07-23

Family

ID=76654112

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010013805.5A Pending CN113161284A (zh) 2020-01-07 2020-01-07 用于制造互连结构的方法

Country Status (3)

Country Link
US (2) US11450557B2 (zh)
CN (1) CN113161284A (zh)
TW (1) TWI729821B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461963B1 (en) * 2000-08-30 2002-10-08 Micron Technology, Inc. Utilization of disappearing silicon hard mask for fabrication of semiconductor structures
US6514844B1 (en) * 2001-04-23 2003-02-04 Advanced Micro Devices, Inc. Sidewall treatment for low dielectric constant (low K) materials by ion implantation
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
US7199046B2 (en) * 2003-11-14 2007-04-03 Tokyo Electron Ltd. Structure comprising tunable anti-reflective coating and method of forming thereof
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
TWI341557B (en) 2007-08-07 2011-05-01 United Microelectronics Corp Dielectric layer structure and manufacturing method thereof
DE102009006798B4 (de) * 2009-01-30 2017-06-29 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
JP5834189B2 (ja) * 2010-10-07 2015-12-16 パナソニックIpマネジメント株式会社 半導体装置の製造方法
US8796150B2 (en) * 2011-01-24 2014-08-05 International Business Machines Corporation Bilayer trench first hardmask structure and process for reduced defectivity
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US8735301B2 (en) * 2011-05-24 2014-05-27 United Microelectronics Corp. Method for manufacturing semiconductor integrated circuit
US8883638B2 (en) * 2012-01-18 2014-11-11 United Microelectronics Corp. Method for manufacturing damascene structure involving dummy via holes
US9059250B2 (en) * 2012-02-17 2015-06-16 International Business Machines Corporation Lateral-dimension-reducing metallic hard mask etch
US20130313717A1 (en) * 2012-05-24 2013-11-28 International Business Machines Corporation Spacer for enhancing via pattern overlay tolerence
US8916472B2 (en) * 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9330915B2 (en) * 2013-12-10 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Surface pre-treatment for hard mask fabrication
US9385086B2 (en) 2013-12-10 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer hard mask for robust metallization profile
US9105697B2 (en) * 2013-12-11 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Trench formation using rounded hard mask
US9202749B2 (en) * 2014-02-06 2015-12-01 International Business Machines Corporation Process methods for advanced interconnect patterning
US9679850B2 (en) * 2015-10-30 2017-06-13 Taiwan Semiconductor Manufacturing Company Ltd. Method of fabricating semiconductor structure
US9728501B2 (en) * 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
TWI552880B (zh) 2015-12-31 2016-10-11 The Method and Structure of Electroplating Sticker
US9412648B1 (en) * 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch
US9659811B1 (en) * 2016-07-07 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Manufacturing method of semiconductor device
US9929012B1 (en) * 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10950444B2 (en) * 2018-01-30 2021-03-16 Tokyo Electron Limited Metal hard mask layers for processing of microelectronic workpieces
US10347528B1 (en) * 2018-03-06 2019-07-09 Globalfoundries Inc. Interconnect formation process using wire trench etch prior to via etch, and related interconnect
TW202011523A (zh) * 2018-05-16 2020-03-16 美商微材料有限責任公司 用於增加支柱的垂直度的方法
WO2020006532A1 (en) * 2018-06-30 2020-01-02 Lam Research Corporation Zincating and doping of metal liner for liner passivation and adhesion improvement
US10622301B2 (en) * 2018-08-17 2020-04-14 International Business Machines Corporation Method of forming a straight via profile with precise critical dimension control
CN111627855B (zh) * 2019-06-27 2021-05-25 长江存储科技有限责任公司 互连结构及其形成方法
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法

Also Published As

Publication number Publication date
US11450557B2 (en) 2022-09-20
US20210210378A1 (en) 2021-07-08
US20220384253A1 (en) 2022-12-01
TW202127620A (zh) 2021-07-16
TWI729821B (zh) 2021-06-01

Similar Documents

Publication Publication Date Title
JP4679193B2 (ja) 半導体装置の製造方法及び半導体装置
US6893956B2 (en) Barrier layer for a copper metallization layer including a low-k dielectric
US20190363048A1 (en) Via prefill in a fully aligned via
US7998855B2 (en) Solving via-misalignment issues in interconnect structures having air-gaps
US8207060B2 (en) High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
US6245663B1 (en) IC interconnect structures and methods for making same
US7335590B2 (en) Method of fabricating semiconductor device by forming diffusion barrier layer selectively and semiconductor device fabricated thereby
KR100711526B1 (ko) 구리 연결선을 갖는 반도체 장치의 제조방법
US7319071B2 (en) Methods for forming a metallic damascene structure
US20140127901A1 (en) Low-k damage free integration scheme for copper interconnects
US20060246717A1 (en) Method for fabricating a dual damascene and polymer removal
US7022602B2 (en) Nitrogen-enriched low-k barrier layer for a copper metallization layer
US10373910B2 (en) Metal alloy capping layers for metallic interconnect structures
US9576897B2 (en) Semiconductor interconnect device
KR20110063505A (ko) 반도체 소자의 금속화 시스템에서 캡 층을 cmp 및 식각 중지 층으로 사용하는 반도체 소자 및 그 제조 방법
US9576851B2 (en) Interconnect structure and methods of making same
US7955971B2 (en) Hybrid metallic wire and methods of fabricating same
US20220384253A1 (en) Method of making a semiconductor device and semiconductor device
US8053359B2 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US6682999B1 (en) Semiconductor device having multilevel interconnections and method of manufacture thereof
JP2008263097A (ja) 半導体装置及び半導体装置の製造方法
US20050184288A1 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US20140008799A1 (en) Method for fabricating metal line and device with metal line
WO2004040623A2 (en) An improved barrier layer for a copper metallization layer including a low k dielectric

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination