TWI729821B - 製造互連結構的方法 - Google Patents

製造互連結構的方法 Download PDF

Info

Publication number
TWI729821B
TWI729821B TW109116978A TW109116978A TWI729821B TW I729821 B TWI729821 B TW I729821B TW 109116978 A TW109116978 A TW 109116978A TW 109116978 A TW109116978 A TW 109116978A TW I729821 B TWI729821 B TW I729821B
Authority
TW
Taiwan
Prior art keywords
layer
hard mask
opening
metal hard
metal
Prior art date
Application number
TW109116978A
Other languages
English (en)
Other versions
TW202127620A (zh
Inventor
徐民翰
曹榮志
陳俊彰
Original Assignee
台灣積體電路製造股份有限公司
大陸商台積電(南京)有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司, 大陸商台積電(南京)有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI729821B publication Critical patent/TWI729821B/zh
Publication of TW202127620A publication Critical patent/TW202127620A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種製造互連結構的方法包括以下操作:將一金屬硬掩膜沉積至一介電層上方;在金屬硬掩膜中蝕刻一金屬硬掩膜開口,以暴露介電層的一頂部表面;在介電層中蝕刻至少一個互連開口,以暴露一基底導電層的一頂部表面;修改金屬硬掩膜開口的一側壁;以及將一導電材料沉積至金屬硬掩膜開口及至少一個互連開口中。

Description

製造互連結構的方法
本揭示案是關於一種製造互連結構的方法。
雙鑲嵌互連在積體電路的諸層之間提供可靠互連。互連中的空隙增大電阻,並且降低積體電路的可靠性,並且使裝置的時鐘速度變慢。
本揭示案之一些實施方式提供了一種製造互連結構的方法,方法包括以下操作:將金屬硬掩膜沉積至介電層上方;在金屬硬掩膜中蝕刻金屬硬掩膜開口,以暴露介電層的頂部表面;在介電層中蝕刻至少一個互連開口,以暴露基底導電層的頂部表面;藉由將非金屬原子添加至金屬硬掩膜的金屬性層來修改金屬硬掩膜開口的側壁;以及將導電材料沉積至金屬硬掩膜開口及至少一個互連開口中。
本揭示案之一些實施方式提供了一種製造互連結構的方法,包含將一介電層沉積至一第一銅互連結構上方;將一金屬硬掩膜沉積至介電層上方;在金屬硬掩膜中蝕刻 一金屬硬掩膜開口;蝕刻一互連結構開口的一第一部分至介電層中;蝕刻互連結構開口的一第二部分至介電層中;藉由熱處理金屬硬掩膜來修改金屬硬掩膜開口的一側壁;以及穿過金屬硬掩膜開口將一導電材料沉積至互連結構開口中。
本揭示案之一些實施方式提供了一種製造互連結構的方法,包括在一基板上方形成一介電層;將一硬掩膜層沉積至介電層上方;穿過一硬掩膜層開口及一介電層開口暴露介電材料的一頂部表面;在介電材料中蝕刻一開口;修改硬掩膜層開口的一側壁;以及以一導電材料穿過具有修改的側壁的硬掩膜層開口填充開口。
100:半導體裝置
101:雙鑲嵌結構
102:抗反射層
104A:金屬性層
104B:金屬硬掩膜
104C:富含氮的區域
104D:富含氮的區域
106:抗反射層
108:金屬硬掩膜
110:介電層
112:蝕刻終止層
114:基底導電層
116A:開口
116B:開口
116C:上部部分
116D:下部部分
118A:第一寬度
118B:第二寬度
118C:第三寬度
118D:第四寬度
118E:第五寬度
118F:第六寬度
120:界面
122A:側壁
122B:側壁
124:頂部表面
150:半導體裝置
200:半導體裝置
202:抗反射層
203:毒化層
204:金屬性層
210:介電質
214:基礎連接層
216A:互連結構
216B:互連結構
221A:側壁
221B:側壁
221C:側壁
221D:側壁
221E:側壁
221F:側壁
221G:側壁
223:暴露表面
225:角度
300:半導體裝置
301:互連結構
302:抗反射層
303:毒化層
304:金屬硬掩膜
306:抗反射層
308:金屬硬掩膜
314:基礎導體
316C:線區域
316D:通孔區域
318A:互連結構
318B:互連結構
321B:傾斜側壁
326:種子層
400:半導體裝置
401:銅互連結構
403:毒化層
408:金屬硬掩膜
410:介電層
412:蝕刻終止層
414:基底導電層
416C:條形區域
416D:通孔區域
418A:互連結構
418B:互連結構
418A1:上部部分
418A2:下部部分
500:方法
505:操作
510:操作
515:操作
520:操作
525:操作
530:操作
600:積體電路
601:結構
602:第一層
604:第二層
606:界面
610:結構
612:第一層
614:第二層
616:界面層
W1:第一寬度
W2:第二寬度
W3:第三寬度
結合理解隨附圖式時藉由以下詳細描述將最佳理解本揭示案之態樣。應注意,根據業界之標準實踐,各種特徵並未按比例繪製。實際上,出於論述清晰的目的,各種特徵的尺寸可任意增大或減小。
第1A圖至第1B圖為根據一些實施例在製造製程期間半導體裝置的橫截面圖。
第2圖為根據一些實施例在製造製程期間具有傾斜側壁輪廓的半導體裝置的橫截面圖。
第3圖為根據一些實施例在製造製程期間具有銅互連的半導體裝置的橫截面圖。
第4圖為根據一些實施例在製造製程期間具有銅互連的半 導體裝置的橫截面圖。
第5圖為根據一些實施例製造銅互連的方法的流程圖。
第6圖為根據一些實施例在製造製程期間積體電路的諸層的橫截面圖。
以下揭示內容提供用於實施所提供標的之不同特徵的許多不同實施例或實例。下文描述部件,值,操作,材料,配置等等的特定實例以簡化本揭示案。當然,此些僅僅是實例並非意欲限制。涵蓋其他部件,值,操作,材料,配置等等。舉例而言,下文的描述中第一特徵在第二特徵上方或上形成可包括第一特徵及第二特徵以直接接觸形成的實施例,並且亦可包括額外特徵可形成於第一特徵與第二特徵之間以使得第一特徵及第二特徵可能並不直接接觸的實施例。另外,本揭示案可重複各種實例中的元件符號及/或字母。此重複係出於簡化及清晰之目的,並且本身並不指示所論述之各個實施例及/或組態之間的關係。
本文中可使用空間相對術語,諸如「下方」、「在...之下」、「下」、「上方」、「上」、「在...前方」、「在...後方」等,以便於描述,以描述一個元件或特徵與另一(些)元件或特徵之關係,如圖中所例示。應當理解,除圖中所描繪的定向之外,空間相對術語還意欲涵蓋裝置在使用或操作中的不同定向。可以其他方式來定向裝置(旋轉90度或以其他定向),並且相應地解釋本文所使用的空間相對描 述詞。
當製造具有雙鑲嵌互連的半導體裝置或積體電路時,在至少兩個製程中添加被添加至雙鑲嵌結構開口的導電材料。在介電材料的一或多個層中形成溝槽及通孔開口之後,將種子材料的層沉積至溝槽及通孔開口中。另外,在將種子材料的層沉積至雙鑲嵌結構開口的底部處的下方的導電材料上之後,才將塊狀導電材料添加至雙鑲嵌結構。在一些實例中,將種子材料或種子層濺射至雙鑲嵌結構的側壁上,及下方的導電材料的暴露部分上。在一些實施例中,種子層包括純銅或銅合金。藉由電鍍將塊狀導電材料填充至雙鑲嵌結構開口中,此舉導致填充的雙鑲嵌結構及晶粒上形成有雙鑲嵌結構的頂部表面上的導電材料的層。在一些實施例中,電鍍的塊狀導電材料為銅或銅合金。在一些實施例中,種子層及電鍍的塊狀導電材料為相同合金。在一些實例中,種子層及電鍍的塊狀導電材料為不同材料,以便促進種子層黏著至雙鑲嵌結構的側壁上的襯墊及/或減少電鍍的塊狀導電材料中的空隙。
因為導電材料的電鍍對材料至諸如雙鑲嵌結構的開口的擴散率敏感,促進非均勻種子層沉積的障礙物或特徵在電鍍製程期間影響導電材料的溶解離子流動至雙鑲嵌結構中。限制導電材料的離子進入開口導致所得互連結構中形成有空隙,同時互連結構的電阻增大至積體電路的閾值互連結構電阻之上。
互連結構中空隙的減少及/或消除導致含有互連結 構的積體電路的電阻的總體降低。降低的電阻亦促成積體電路的較快總體切換時間。
第1A圖至第1B圖為根據一些實施例在製造製程期間半導體裝置100的橫截面圖。第5圖為根據一些實施例製造銅互連的方法500的流程圖。下文描述方法500的操作,並且描述參照作為貫穿本揭示案的實施例的代表的第1A圖至第4圖的雙鑲嵌溝槽結構及銅互連結構的元件。
半導體裝置100包括處於基底導電層114上方的雙鑲嵌結構101。蝕刻終止層112處於基底導電層114上方,而介電層110處於蝕刻終止層112上方。在一些實施例中,基底導電層114為接觸(contact)。在一些實施例中,基底導電層114為金屬線(metal line)。在一些實例中,基底導電層114為積體電路的較低層的雙鑲嵌式互連。因此,藉由濺射或電鍍來形成基底導電層堆疊,以便適應被填充的開口的輪廓及製造積體電路所使用的積體方案。在一些實施例中,基底導電層為半導體裝置的較低層的接觸。在一些實施例中,基底導電層為半導體裝置的較低層的金屬線。在一些實施例中,基底導電層為半導體裝置的不同位準處的雙鑲嵌結構。
蝕刻終止層112包括介電材料的一或多個層,該一或多個層在介電層中形成互連開口的蝕刻條件下對蝕刻製程的蝕刻敏感性低於介電層110。在一些實例中,蝕刻終止層由沉積至下部介電層上及至介電層110正下方的氮 化矽或氮氧化矽的一或多個層製成。
介電層110為沉積在蝕刻終止層112上方的層間介電(interlayer dielectric;ILD)。介電層110經蝕刻以形成開口以用於形成於其中的互連結構。互連結構包括具有通孔區域及條形/溝槽區域的雙鑲嵌互連結構。雙鑲嵌結構110在上部部分116C中具有溝槽開口,並且在下部部分116D中具有兩個或兩個以上通孔開口。根據一些實施例,上部部分116C的厚度及下部部分116D的厚度為近似相同的厚度。在一些實施例中,上部部分116C的厚度及下部部分116D為不同厚度。在一些實施例中,上部部分116C的厚度小於下部部分116D的厚度。
介電層110包括二氧化矽,旋塗式玻璃,硼磷旋塗式玻璃(boron-phosphorus spin on glass;BPSG),氟矽玻璃(fluorinated silica glass;FSG),或含有例如,矽,氧,碳及氫的有機低k介電材料。具有有機低k介電材料的積體電路的非限制性實例包括低k介電材料,諸如BLACK DIAMOND®,多孔矽,等等。用於介電層110的材料視介電層中相鄰線(或溝槽)之間的間距或寬度而定。二氧化矽為藉由化學氣相沉積(chemical vapor deposition;CVD)沉積至接觸蝕刻終止層(contact etch stop layer;CESL)上的介電材料的一個實例。化學氣相沉積的技術包括電漿增強化學氣相沉積(plasma enhanced chemical vapordeposition;PE-CVD),高壓化學氣相沉積(high-pressure chemical vapor deposition;HP-CVD),等等。藉由各種方法將BPSG,FSG,及各種低K介電材料沉積至接觸蝕刻終止層上,包括沉積液體,隨後旋塗晶圓以移除過量材料,從而在基板上留存介電材料的薄膜。藉由類似於PE-CVD的技術將低K介電材料的一些實例沉積至接觸蝕刻終止層或其他基板上,其中介電材料為在發生沉積的基板上方的電漿中發生的化學反應的結果。
在一些實施例中,介電層110為在一個製程中沉積的單個膜。在一些實施例中,介電層110包括沉積在蝕刻終止層112上方的複數個介電材料。金屬硬掩膜108的抗反射層106處於介電層110上方。在一些實施例中,抗反射層106直接抵靠介電層110的頂部表面。雙鑲嵌結構101包括延伸穿過金屬硬掩膜108,介電層110,及蝕刻終止層112以暴露基底導電層114的頂部表面的兩個開口116A及116B。
方法500包括操作505,其中將諸如金屬硬掩膜108的金屬硬掩膜沉積至介電層110上。金屬硬掩膜108包括抗反射層(anti-reflection coating,ARC)102,金屬性層104A(例如,金屬氮化物層),及抗反射層106。在一些實施例中,金屬硬掩膜包括單個抗反射層及金屬性層,而不是兩個抗反射層及金屬性層。當金屬硬掩膜中存在兩個抗反射層時,第一抗反射層處於金屬性層上方,而第二抗反射層處於金屬性層下方。在雙鑲嵌結構101中,設置金屬性層104A上方及下方的抗反射層102及106 以改進金屬性層的光刻。改進的光刻包括開口寬度的增大均勻性,開口邊緣的改進平直度,及更豎直的開口側壁。在一些實施例中,單個抗反射層存在於金屬性層上方。在一些實施例中,單個抗反射層存在於金屬性層下方。抗反射層調整光刻製程以達成金屬性層或下方的介電層的側壁的均勻線形及輪廓。
金屬硬掩膜中包括的抗反射層的數目為與形成穿過金屬硬掩膜及下方的介電層中的開口相關聯的難度的函數。對於介電層及金屬硬掩膜的一些組合而言,金屬性層下方的單個抗反射層足以保持開口的均勻側壁輪廓及線形。當穿過氮化物層或介電層中的開口的側壁具有側向振盪或波狀形狀時,包括第二抗反射層以改進穿過金屬性層並且至介電層中的開口的形狀。
根據一些實施例,金屬性層104A為氮化鈦層,儘管其他金屬的氮化物亦涵蓋在本揭示案的範疇內。用於製造硬掩膜的氮化物層的其他金屬包括,但不限於,鈦,鋯,鉭,鈮,釩,釩,鉻,鈷,鎳,矽,及鋅。
金屬性層104A具有約10nm至約100nm範圍內的厚度。金屬性層大於100nm的厚度增大積體電路的製造成本,而就雙鑲嵌結構的溝槽或通孔的改進線光滑度而言並未產生額外益處。厚度小於10nm的金屬性層並不足以抵抗蝕刻製程達足夠時間,而不足以充分降低或防止雙鑲嵌結構的溝槽或通孔的預期線粗糙度。此外,小於10nm厚的金屬性層表現出厚度變化,該厚度變化影響穿透金 屬硬掩模層的蝕刻時間,而不會改變蝕刻進入下面的電介質層中的深度。當金屬硬掩膜蝕刻製程穿透金屬硬掩膜並且至介電層中時,互連開口的溝槽部分的深度在跨晶粒(die)之間為不均勻的,從而導致互連結構電阻與整個電路的電路規範之間存在偏差。
在介電層110上方或在金屬性層104A的頂部沉積抗反射層102,以便生成由光刻技術顯影的較均勻結構。抗反射層減少及/或消除搖擺曲線,光阻劑中的駐波,及圖案化線的線寬偏差。諸如抗反射層102,106的抗反射層有助於生成電阻及其他電氣性質滿足積體電路的設計規範的互連。互連結構電氣性質相對於設計規範的偏差不利地影響積體電路。此類偏差包括增大的電阻,較慢的切換時間,寄生電容,及電遷移。抗反射層102,106各自具有約10至約100nm範圍內的厚度。當抗反射層薄於約10nm時,由於上文所描述的光刻缺陷,抗反射層並不提供積體電路製造製程期間的足夠保護。當抗反射層厚於約100nm時,抗反射層並不提供額外益處,耗費更大量的材料,並且增大製造積體電路的複雜性。製造積體電路的此增大複雜性與顯影光阻劑,移除光阻劑,移除抗反射層,以及在製造製程期間自表面清除殘餘粒子的製程相關聯。在一些實施例中,抗反射層為底層ARC層,頂層ARC層,或介電ARC層。在一些實例中,抗反射層不含氮。
方法500包括操作510,其中在金屬硬掩膜中蝕刻開口。藉由將圖案化材料(諸如,光阻劑)的層沉積至金 屬硬掩膜的頂部表面,諸如藉由光刻或電子束微影術在圖案化材料的層內形成圖案,以及移除圖案的部分以暴露金屬硬掩膜的頂部層,來形成硬掩膜中的開口。在金屬硬掩膜中蝕刻開口包括一或多個電漿蝕刻步驟,以便移除硬掩膜暴露在上覆圖案化層的開口內的部分。用以移除金屬硬掩膜的部分的電漿蝕刻步驟的化學,壓力,及功率設置可基於金屬硬掩膜的材料及上覆圖案化層中開口的尺寸而調整。
方法500亦包括操作515,其中在金屬硬掩膜下方形成至少一個互連開口。根據一些實施例,互連開口延伸穿過半導體膜堆疊中金屬硬掩膜的兩層,介電層,及蝕刻終止層。在多個步驟中形成互連開口。形成互連開口的操作的一個步驟包括至少一個蝕刻製程,以在諸如金屬硬掩膜108的金屬硬掩膜層中形成一或多個開口。在一些實施例中,形成互連開口的操作的一個步驟包括在介電層的上部部分中形成溝槽,隨後形成延伸穿過介電層的下部部分的通孔。在一些實例中,首先形成通孔,隨後互連開口的溝槽部分。出於本論述的目的,用於形成互連開口的操作的描述將包括溝槽優先方案,如下文所描述,儘管本揭示案亦涵蓋通孔優先方案。在一些實施例中,用於形成互連開口的操作的一個步驟亦包括在介電層下方的CESL中形成開口,以暴露導電基礎層。
在用於製造互連開口的溝槽優先方案中,金屬硬掩膜108中的開口116A,116B對應於在介電層110的上 部部分116C中形成的溝槽的輪廓。在雙鑲嵌結構101中,上部部分116C包括介電層110的上部部分中的兩個開口,及金屬硬掩膜108中的開口。
一旦在上部部分116C中形成溝槽,移除留存在金屬硬掩膜108的頂部表面上的任何殘餘圖案化材料,並且將圖案化材料的第二層沉積至金屬硬掩膜的頂部表面及上部部分116C中的溝槽開口中。在第二圖案化步驟期間,自金屬硬掩膜108的頂部表面或自上部部分116C內的區移除第二圖案化材料的部分,以在晶粒表面上形成第二圖案以供蝕刻通孔。在形成第二圖案化材料中的圖案之後,進行第二蝕刻製程以在下部部分116D中形成通孔。如雙鑲嵌結構101中所示的下部部分116D包括介電材料110的下部部分,及蝕刻終止層112。在用以在下部部分116D中形成通孔的蝕刻製程之後,自晶粒表面移除第二圖案化材料。
雙鑲嵌結構101為未填充結構,其中兩個開口116A及116B延伸穿過金屬硬掩膜108以暴露基底導電層114的一些。雙鑲嵌結構101的開口116A及116B具有在基底導電層114上方的諸位置處的不同直徑。自金屬硬掩膜的頂部表面向下移動,開口116A及116B具有在抗反射層102的位準處的第一寬度118A,在金屬性層104A的位準處的第二寬度118B,在介電層110及抗反射層106的界面處的第三寬度118C,在開口116A及116B的溝槽區域116C的底部處的第四寬度118D,在 開口116A及116B的通孔的下部部分116D的頂部處的第五寬度118E,以及在通孔的下部部分116D的底部處的第六寬度118F。同樣,向下移動,第一寬度118A大於第二寬度118B,第二寬度118B大於第三寬度118C,第三寬度118C大於第四寬度118D,第四寬度118D大於第五寬度118E,並且第五寬度118E大於第六寬度118F。
抗反射層102的側壁122A並不與金屬性層104A的側壁122B豎直地對準,如由開口116A的不同寬度118A及118B所指示。因此,在抗反射層102與金屬性層104A之間的界面120處,設置階梯或「彎折(kink)」以使得金屬性層的頂部表面124暴露在雙鑲嵌結構的開口內。當暴露金屬性層104A的頂部表面124時,將導電材料的種子層沉積至雙鑲嵌結構開口期間,在暴露的頂部表面124處的階梯位置處進行地較快。因此,在沉積種子層期間,種子層非均勻地生長。最快的種子層生長的位置在暴露的頂部表面124的位置處發生,以使得在種子層沉積之後雙鑲嵌結構的開口的直徑小於雙鑲嵌結構的下部部分的直徑。在本揭示案中,方法500描述在不含上文所提及的非均勻種子層沉積的情況下形成互連結構的製程。
方法500包括操作520,其中根據一些實施例,修改金屬硬掩膜的部分以生成傾斜側壁。在操作520中,藉由處理金屬硬掩膜以修改金屬硬掩膜,以使非金屬原子 擴散至金屬性層(或在一些實施例中,金屬層)中,以便在材料堆疊的不同位置處生成晶格常數不同的材料堆疊。隨著材料的晶格常數變化,材料的尺寸亦變化。在本揭示案中,向金屬層或向金屬性層添加非金屬原子使晶格常數增大,並且對應於併入非金屬原子之後的金屬性層膨脹或擴展。
處理金屬硬掩膜包括退火製程及/或電漿製程以加熱金屬硬掩膜。電漿處理亦包括在製程期間在半導體裝置的表面上方生成離子化原子,該些離子化原子穿透至金屬硬掩膜的一或多個層中以修改金屬硬掩膜的組成及形狀。新層或毒化層包括金屬性層及抗反射層兩者的組分,並且具有替代階梯的傾斜側壁,或金屬性層104A的暴露的上部表面124,如上文所描述。層或材料的毒化意指藉由使原子自相鄰層擴散至毒化層來修改層。
第1B圖為根據一些實施例在製造製程期間半導體裝置150的橫截面圖。對應於第1A圖的元件的第1B圖的元件具有相同元件符號。熟習此項技術者將理解,本揭示案係關於不同於本文所示實施例的額外實施例,並且為了方便,本文所描述的特徵用於描述本揭示案及製造半導體裝置的方法的範疇。
第1B圖包括具有經非金屬原子處理的表面104C的金屬硬掩膜104B。根據一些實施例,將金屬硬掩膜104B沉積至介電層110上方,並且隨後以非金屬原子處理以修改金屬層與一或多個抗反射層之間的界面,以使得 金屬硬掩膜104B的部分轉化為富含非金屬原子的金屬區域104C。在一些實施例中,將非金屬原子添加至層的製程(包括金屬硬掩膜)稱作毒化層(poisoned layer)。在一些實施例中,藉由在不含氧的情況下將金屬硬掩膜104B的側壁122B暴露至解離的非金屬原子的電漿來使金屬硬掩膜104B富含非金屬原子,以使得電漿中生成的原子朝向金屬硬掩膜的暴露表面加速,並且穿透至金屬硬掩膜中。在一些實施例中,非金屬原子為氮原子。在一些實施例中,非金屬原子為氮及氧的混合物。對於下文的論述而言,所論述的非金屬原子為氮,儘管本揭示案中涵蓋其他原子。
向金屬硬掩膜104添加氮修改金屬硬掩膜層的化學組成,並且修改材料的晶格常數。根據實施例,材料的晶格常數確定材料中的應變量,因為材料在晶格修改的材料上方及下方的其他材料的諸層之間過渡。在一些實施例中,添加材料以改進材料的晶格常數致使材料在尺寸上膨脹或增大,因為晶格常數在修改(例如,向金屬硬掩膜添加氮)之後大於修改之前。在一些實施例中,向金屬硬掩膜添加非金屬原子使晶格常數減小,從而致使材料收縮。在一些實施例中,藉由在使抗反射層106開口以暴露基板110之前自富含氮的電漿添加氮來「毒化」金屬硬掩膜。在一些實施例中,藉由在抗反射層106已被打開的開口並且將基板110暴露至電漿之後自富含氮的電漿添加氮來「毒化」金屬硬掩膜。將基板110暴露至富含氮的電漿修改基板110的暴露部分,以及金屬硬掩膜的暴露側壁層104B。 在使抗反射層106開口之前毒化金屬硬掩膜層104B保護基板110的化學組成及實體結構免遭修改,從而使得形成互連開口(參見116A及116B)的蝕刻製程比基板富含氮的情況更均勻且更快。
富含氮的區域104C覆蓋開口116A中金屬硬掩膜材料的暴露頂部部分及側壁的全部。富含氮的區域104D覆蓋開口116B中金屬硬掩膜材料的暴露頂部部分及側壁的上部部分。富含氮的區域在金屬硬掩膜104B的側壁上的覆蓋程度為用於生成解離的氮原子的電漿的電漿特性(例如,離子加速特性)的函數。隨著蝕刻電漿變得更具各向異性,側壁覆蓋的程度增大。
第2圖為根據一些實施例在製造製程期間具有傾斜側壁輪廓的半導體裝置200的橫截面圖。類似於上文所描述的第1A圖及第1B圖的元件的第2圖的元件的元件符號延續第1A圖及第1B圖,並改以2開頭。藉由加熱抗反射層及金屬性層以致使來自抗反射層及金屬性層的化合物洩放至彼此來修改金屬硬掩膜的側壁。因此,第1B圖中彼此直接抵靠的抗反射層202及金屬性層204在第2圖中藉由毒化層203彼此間隔開。抗反射層202具有近似豎直的側壁221A。金屬性層204具有近似豎直的側壁221C。毒化層203具有不豎直但傾斜的毒化層側壁221B,從而橋接在側向上彼此間隔開的側壁221A與側壁221C之間的空間。側壁221C具有與介電質210中溝槽區域的側壁221D的斜率近似相同的斜率。在一些實施例中,側壁 221C的斜率不同於側壁221D的斜率。側壁221F為互連結構開口216A/216B的通孔區域的側壁的上部部分,而側壁221G為互連結構的通孔區域的下部部分的側壁。基礎連接層214的暴露表面223處於互連結構216A及216B的底部。側壁221E定位於側壁221D與221F之間。在一些實施例中,角度225在10至70度的範圍內,儘管其他角度亦涵蓋在本揭示案的範疇內。根據理論及信念,角度225小於20°的互連結構易於在互連結構的金屬填充物中形成空隙。在一些實施例中,對於小於20°的角度值而言,種子層沉積在互連結構的較低部分(例如,沿著側壁221F的部分)中變得散亂或不均一。在角度225小於20°的互連結構的實施例中,互連結構的金屬填充易於形成空隙,這是因為材料在側壁221E與側壁221F之間的拐角處的不均一積聚。
在一些實施例中,修改金屬硬掩膜側壁或毒化金屬硬掩膜的層包括與退火及/或處理電漿中的金屬硬掩膜相關的一或多個步驟。當藉由退火發生金屬硬掩膜毒化時,退火步驟包括快速熱處理,脈衝雷射退火,或其他快速退火製程中的一或多者,該等製程促進原子的層間擴散而並不致使金屬硬掩膜的一或多個層熔融。金屬硬掩膜層的退火或快速熱處理涉及將金屬硬掩膜加熱至600℃至900℃範圍內的溫度達長達60秒的週期。在一些實施例中,維持600℃至700℃範圍內的升高溫度達長達40至60秒範圍內的週期。在一些實施例中,維持700℃至800℃範圍內 的升高溫度達長達20至40秒範圍內的週期。在一些實施例中,維持800℃至900℃範圍內的升高溫度達長達10至20秒範圍內的週期。在一些實施例中,維持900℃至1000℃範圍內的升高溫度達長達1至10秒範圍內的週期。在一些實施例中,在高於1000℃的溫度下執行熱處理,並且在峰值溫度下採用的暴露時間小於一秒,以便促進原子擴散而並不致使金屬硬掩膜層熔融。在一些實例中,雷射退火製程的峰值溫度達到高於1300°,而暴露時間大約數毫秒或微秒。
在一些實施例中,修改金屬硬掩膜側壁包括在形成互連開口之後將金屬硬掩膜暴露至含氮電漿。含氮電漿在電漿中生成氮離子,該等氮離子沾染暴露的抗反射層塗層或側壁,並且加熱金屬硬掩膜以促進金屬擴散出金屬性層,並且至金屬硬掩膜中存在的抗反射層中的一或多者。在將金屬硬掩膜暴露至含氮電漿期間,發生較少蝕刻或並未發生蝕刻。相反,電漿中的氮經受離子化,並且氮離子與抗反射層的暴露部分反應。通常為有機層的反射層易受來自電漿的氮攝取或膜堆疊中的相鄰材料的影響。
修改金屬硬掩膜以在金屬硬掩膜中形成毒化層包括形成具有約0.1nm至約10nm範圍內的厚度的毒化層。小於0.1nm的毒化層並不具有足夠的豎直厚度來橋接抗反射層的側壁與金屬硬掩膜中的金屬性層之間的側向間隙。厚度大於10nm的毒化層致使金屬硬掩膜層熔融的風險增大,從而使得在互連開口中沉積導電材料之後更難以部分 或全部移除金屬硬掩膜。毒化層(或毒化金屬層)具有金屬對非金屬原子的介於0.25:1至1:1之間的組成比。當金屬組成比小於0.25:1時,毒化金屬層的側壁並不具有避免種子層材料的過量聚集的斜率。當金屬組成比大於1:1時,毒化金屬層的側壁具有避免種子層材料的過量聚集的斜率,但毒化金屬層的較大厚度並不促進種子層均勻性的降低。組成比小於0.25:1的毒化金屬層並不展現金屬性層與抗反射層的足夠混合以達成與不含空隙的塊狀導電材料電鍍相容的傾斜側壁。
金屬性層104A中的金屬化合物具有化學式AxBy,其中A為金屬,而B為非金屬原子。金屬硬掩膜的金屬化合物(金屬性層)具有金屬對非金屬原子的介於1:1至1:3之間的組成比(x:y)。當金屬性層的氮組成比小於1:1時,金屬性層中不存在足夠的氮來形成展現降低的種子層材料聚集的毒化金屬層。當金屬性層的氮組成比大於1:3時,金屬性層中不存在足夠的金屬來形成具有抗反射層的毒化金屬層。在一些實施例中,金屬A為鈦(Ti),而非金屬原子為氮(N)。在一些實施例中,金屬原子A為鈦,而非金屬為氮與氧(O)的混合物,以使得AxBy為TixNyOz。在一些實施例中,根據熟習此項技術者已知的半導體製造製程使用金屬及非金屬的其他組合。
方法500包括操作525,其中將導電材料沉積至介電層中的至少一個開口中。在製造積體電路中的雙鑲嵌結構時,在若干步驟中沉積導電材料。操作525的一個步 驟將導電材料的種子層濺射至金屬硬掩膜308的頂部表面以及至互連結構的側壁221A-221G。操作525的另一步驟包括將導電材料電鍍至互連開口(介電層中的至少一個開口)中。種子層(未示出)充當後續電鍍製程的一個電極。因為毒化層203具有傾斜側壁221B,將導電材料電鍍至互連開口中期間不太可能在互連內形成空隙或氣袋,因為在互連開口對應於毒化層203的位準的位準處不存在結點。
根據一些實施例,種子層(未示出)及電鍍的塊狀導電材料為相同材料。在一些實例中,種子層及塊材電鍍導電材料為不同材料。用於形成雙鑲嵌互連結構的導電材料包括銅及銅合金。銅合金包括與鋁,鋅,以及其他金屬混合的銅,以便促進材料在互連開口內的均勻電沉積並且減小電鍍導電材料的粒徑。在一些實施例中,在操作525之後,藉由例如化學機械研磨(chemical mechanical polishing;CMP)移除介電層上方的一或多個層,以便製備積體電路以供沉積另一介電材料,諸如接觸蝕刻終止層(例如,雙鑲嵌結構),或其中待製造導電線的介電層。
第3圖為根據一些實施例的具有銅互連結構301的半導體裝置300的橫截面圖。類似於上文第2圖的元件的第3圖的元件的元件符號延續第2圖,並改以3開頭。在互連結構301中,導電材料覆蓋雙鑲嵌結構開口的側面,以及金屬硬掩膜308的頂部。因此,互連結構318A及318B係藉由平坦的導電材料318C來電連接。互連結構 318A及316B具有線區域316C及通孔區域316D。每一互連結構的區域316D電連接至基礎導體314。
因為毒化層303具有傾斜側壁321B,將導電材料(銅或銅合金)的種子層326沉積至互連開口的側壁上並不在互連開口的頂部處生成收縮點。藉由消除在互連開口的頂部處形成收縮,互連結構318A及318B中並無空隙或空心。在一些實施例中,在蝕刻穿過介電材料之後將種子層326沉積至互連開口(參見第2圖的元件216A,216B)中,以防止基礎導體314的暴露金屬(例如,在半導體裝置的較低層填充有金屬的通孔或溝槽)的氧化。藉由在暴露基礎導體314的金屬之後不久沉積種子層,在基礎導體上形成的金屬氧化物有所減少,此舉減小在金屬填充之後互連底部界面處的電阻。在一些實施例中,種子層覆蓋抗反射層302的內側壁,毒化層303,金屬硬掩膜304,及抗反射層306。在一些實施例中,毒化層303為金屬硬掩膜304的內部側壁(參見第1B圖的元件104C)。在一些實施例中,毒化層為暴露的金屬硬掩膜104材料的頂部部分(參見第1B圖的元件104D)。
第4圖為根據一些實施例的具有銅互連結構401的半導體裝置400的橫截面圖。方法500包括操作530,其中在操作530中形成的銅互連彼此電隔離。銅互連結構的電隔離藉由在染料或半導體晶圓的頂部表面上執行的化學機械研磨(chemical mechanical polishing;CMP)製程發生。當側向定位於互連(參見第4圖的互連418A及 418B)之間的材料顯著較少時,銅互連的電隔離視為完全的。
在第4圖中,類似於第1A圖及第1B圖中先前描述的結構的元件的元件符號延續第3圖,並改以4開頭。互連418A及互連418B延伸穿過金屬硬掩膜408,介電材料410,蝕刻終止層412,以與基底導電層414電連接。互連418A具有上部部分418A1,該上部部分418A1的頂部具有第一寬度W1。第一寬度對應於上部部分418A1在距基板410與毒化層403相同的豎直距離處的最頂部部分。第一寬度W1大於上部部分418A1的剩餘部分的寬度。在互連結構開口的溝槽部分中形成雙鑲嵌互連結構418A及418B的條形區域416C。互連結構418A及418B的通孔區域416D延伸穿過介電層410的下部部分。根據金屬性層的材料,自介電層410的頂部表面選擇性地移除第4圖中所示的金屬硬掩膜408。在一些實施例中,金屬硬掩膜408包括氮化矽層,並且在自金屬硬掩膜的頂部表面移除塊狀導電材料(諸如,電鍍銅)之後金屬硬掩膜保留,從而使雙鑲嵌互連結構彼此隔離。氮化矽層並不具有足夠高的導電性來允許互連結構之間的電流流動。包括例如氮化鈦的金屬性層具有足夠的電導性,以使得移除金屬性層以防止互連結構之間的漏泄電流。藉由例如化學機械研磨(chemical mechanical polishing;CMP)自介電層410的頂部表面移除金屬性層。
第6圖為根據一些實施例在製造製程期間積體電 路600的諸層的橫截面圖。本揭示案針對經由在以金屬填充互連開口之前使積體電路互連開口的側壁平滑化來製造互連結構(例如,積體電路的層的雙鑲嵌結構中的溝槽及通孔)的方法形成的積體電路。積體電路600具有介於第一層602與第二層604之間的界面606。在一些實施例中,第一層為金屬性層,而第二層為抗反射層。在一些實施例中,第一層為抗反射層,而第二層為金屬性層。金屬性層為例如金屬氮化物或金屬性氮氧化物層的層。在結構601中,描繪在熱處理以使側壁平滑化之前的第一層及第二層。結構610描述在結構已經受熱處理之後的第一層612(與第一層602比較)及第二層614(與第二層604比較)。結構601的熱處理在界面的位置處生成「毒化」層,其中來自第一層612及第二層614的材料交互擴散以形成第三材料,或界面層616,並且具有介於第一層612與第二層614的性質之間的性質。界面層616介於第一層612及第二層614的性質之間的性質為(1)化學組成,及(2)晶格常數,等等。界面層616的化學組成的範圍係自界面層616的頂側處第一層612的組成至界面層616的底側處第二層614的化學組成。化學組成在界面層616的豎直厚度範圍內的變化致使界面膜的晶格常數在界面的豎直厚度範圍內變化。藉由使兩個膜的材料彼此間擴散,中間層自第一層至第二層平滑地過渡側壁輪廓,以便移除與金屬硬掩膜的不同層(例如,金屬/金屬性層,及一個抗反射層)處互連開口(金屬沉積之前)中的不同開口直徑相關聯的彎折。在一 些實施例中,第一層為金屬性層(金屬層,或金屬氮化物層),而第二層為抗反射層。在一些實施例中,第一層為抗反射層,而第二層為金屬性層。本揭示案的方法中所描述的熱處理用以使金屬性層及抗反射層的界面處的彎折光滑,無論金屬性層的抗反射層是否位於膜堆疊的頂部。
本揭示案之一態樣提供了一種製造互連結構的方法,包括以下操作:將金屬硬掩膜沉積至介電層上方;在金屬硬掩膜中蝕刻金屬硬掩膜開口,以暴露介電層的頂部表面;在介電層中蝕刻至少一個互連開口,以暴露基底導電層的頂部表面;藉由將非金屬原子添加至金屬硬掩膜的一金屬性層來修改金屬硬掩膜開口的側壁;以及將導電材料沉積至金屬硬掩膜開口及至少一個互連開口中。在一些實施例中,方法進一步包括移除金屬硬掩膜的頂部表面上導電材料的部分。在一些實施例中,方法進一步包括移除金屬硬掩膜以使至少一個互連開口中之每一者中的導電材料電隔離。在一些實施例中,沉積金屬硬掩膜進一步包括將第一抗反射層沉積至介電層上方;以及將金屬性層沉積至介電層上方。在一些實施例中,方法進一步包括將第二抗反射層沉積至介電層上方,以使得金屬性層處於第一抗反射層與第二抗反射層之間。在一些實施例中,蝕刻至少一個互連開口進一步包括:穿過金屬硬掩膜開口在介電質中蝕刻至少一個溝槽;將光罩材料的層沉積至金屬硬掩膜上方及至少一個溝槽中;圖案化光罩材料以穿過光罩材料在至少一個溝槽中形成開口;以及穿過介電材料蝕刻至少 一個通孔以暴露介電材料下方的基底導電層。在一些實施例中,沉積導電材料進一步包括將種子層沉積至金屬硬掩膜的側壁上,至少一個溝槽的溝槽側壁上,及至少一個通孔的通孔側壁上。在一些實施例中,修改金屬硬掩膜開口的側壁進一步包括退火金屬硬掩膜以使金屬性層的金屬原子擴散至第一抗反射層中,其中金屬性層介於第一抗反射層與介電層之間。在一些實施例中,修改金屬硬掩膜開口的側壁進一步包括將第一抗反射層及金屬性層暴露至含氮電漿。在一些實施例中,方法進一步包括藉由毒化金屬硬掩膜層來修改一金屬硬掩膜層的一斜率。
本揭示案的態樣係關於一種互連結構,該互連結構包括:將介電層沉積至第一銅互連結構上方;將金屬硬掩膜沉積至介電層上方;在金屬硬掩膜中蝕刻金屬硬掩膜開口;在介電層中蝕刻互連結構開口的第一部分;在介電層中蝕刻互連結構開口的第二部分;毒化介電層上方金屬硬掩膜的層;以及穿過金屬硬掩膜開口將導電材料沉積至互連結構開口中。在一些實施例中,蝕刻沉積金屬硬掩膜進一步包括將第一抗反射層沉積至介電層上方的金屬性層上。在一些實施例中,毒化金屬硬掩膜的層進一步包括退火金屬硬掩膜以使得金屬性層及第一抗反射層擴散至彼此。在一些實施例中,毒化金屬硬掩膜的層進一步包括將金屬硬掩膜的層暴露至含氮電漿。在一些實施例中,沉積導電材料進一步包括將銅種子層濺射至毒化金屬硬掩膜的側壁及介電層中互連結構開口的側壁上。在一些實施例中,蝕刻 介電層中互連結構開口的第二部分進一步包括將光罩層沉積至金屬硬掩膜及互連結構開口的第一部分上方;圖案化光罩層以具有延伸至互連結構的第一部分中的開口;以及蝕刻介電層的下部部分。
本揭示案的態樣係關於一種裝置,包括:金屬硬掩膜層,該金屬硬掩膜層處於介電層上方;以及互連結構,該互連結構延伸穿過金屬硬掩膜層及介電層並且電連接至介電層下方的基底導電層;其中金屬硬掩膜層中具有毒化層。在裝置的一些實施例中,金屬硬掩膜進一步包括抵靠毒化層的金屬性層。在一些實施例中,互連結構具有在金屬硬掩膜的頂部層處的第一直徑,在金屬硬掩膜的金屬性層處的第二直徑,在金屬硬掩膜的毒化層處的第三直徑,其中第三直徑小於第一直徑但大於第二直徑。在一些實施例中,互連結構進一步包括銅或銅合金。在一些實施例中,金屬性層進一步包括氮化鈦。
本揭示案的態樣提供一種製造一互連結構的方法,包括將一介電層沉積至一第一銅互連結構上方;將一金屬硬掩膜沉積至介電層上方;在金屬硬掩膜中蝕刻一金屬硬掩膜開口;蝕刻一互連結構開口的一第一部分至介電層中;蝕刻互連結構開口的一第二部分至介電層中;藉由熱處理金屬硬掩膜來修改金屬硬掩膜開口的一側壁;以及穿過金屬硬掩膜開口將一導電材料沉積至互連結構開口中。於一些實施例中,沉積金屬硬掩膜之步驟進一步包括將一第一抗反射層沉積至介電層上,將一金屬性層沉積至第一抗反 射層上方,以及將一第二抗反射層沉積至金屬性層上方。於一些實施例中,熱處理金屬硬掩膜之步驟進一步包括將金屬硬掩膜退火至介於600℃與1000℃之間的一溫度達60秒至1秒的範圍內的一退火時間。於一些實施例中,毒化金屬硬掩膜的該層之步驟進一步包括將金屬硬掩膜的諸層暴露至含氮電漿。於一些實施例中,沉積導電材料之步驟進一步包括將銅種子層濺射至毒化金屬硬掩膜的側壁及介電層中互連結構開口的側壁上。於一些實施例中,方法進一步包括藉由熱製程來修改金屬硬掩膜層的一側壁的一斜率。
本揭示案的態樣提供一種製造一互連結構的方法,包括在一基板上方形成一介電層;將一硬掩膜層沉積至介電層上方;穿過一硬掩膜層開口及一介電層開口暴露介電材料的一頂部表面;在介電材料中蝕刻一開口;修改硬掩膜層開口的一側壁;以及以一導電材料穿過具有修改的側壁的硬掩膜層開口填充開口。於一些實施例中,沉積硬掩膜層之步驟進一步包括將一抗反射層沉積至接觸介電層上方以及將一金屬性層沉積至介電層上方。於一些實施例中,修改硬掩膜層開口的側壁之步驟進一步包括使抗反射層及金屬性層層間擴散。於一些實施例中,修改硬掩膜層的一側壁之步驟進一步包括退火硬掩膜層。於一些實施例中,填充介電層開口之步驟進一步包括將一種子層沉積至一介電層側壁及具有修改的側壁的硬掩膜層開口上;以及在種子層上方電鍍一導電材料。
前述內容概括若干實施例之特徵,以使得熟習此項技術者可較好地理解本揭示案之態樣。熟習此項技術者應瞭解,可意欲將本揭示案用作設計或修改其他製程及結構之基礎,該等其他製程及結構用於實現相同目的及/或達成本文所介紹之實施例的相同優勢。熟習此項技術者亦應認識到,此類等效構造並不脫離本揭示案之精神及範疇,而是可在不偏離本揭示案之精神及範疇的情況下進行各種修改、替換及更改。
400:半導體裝置
401:銅互連結構
403:毒化層
404:金屬硬掩膜
406:抗反射層
408:金屬硬掩膜
410:介電層
412:蝕刻終止層
414:基底導電層
416C:條形區域
416D:通孔區域
418A:互連結構
418B:互連結構
418A1:互連結構
418A2:互連結構
426:種子層
W1:第一寬度
W2:第二寬度
W3:第三寬度

Claims (10)

  1. 一種製造互連結構的方法,包括以下步驟:將一金屬硬掩膜沉積至一介電層上方;在該金屬硬掩膜中蝕刻一金屬硬掩膜開口,以暴露該介電層的一頂部表面;在該介電層中蝕刻至少一個互連開口,以暴露一基底導電層的一頂部表面;藉由將非金屬原子添加至該金屬硬掩膜的一金屬性層來修改該金屬硬掩膜開口的一側壁;以及將一導電材料沉積至該金屬硬掩膜開口中及該至少一個互連開口中。
  2. 如請求項1所述之方法,進一步包括以下步驟:移除該金屬硬掩膜的一頂部表面上該導電材料的一部分。
  3. 如請求項1所述之方法,其中沉積一金屬硬掩膜進一步包括以下步驟:將一第一抗反射層沉積至該介電層上方;以及將該金屬性層沉積至該介電層上方。
  4. 如請求項3所述之方法,其中修改該金屬硬掩膜開口的該側壁之步驟進一步包括以下步驟:退火該金屬硬掩膜以使該金屬性層的金屬原子擴散至該第一抗反射 層中,其中該金屬性層處於該第一抗反射層與該介電層之間。
  5. 如請求項3所述之方法,其中修改該金屬硬掩膜開口的該側壁之步驟進一步包括以下步驟:將該第一抗反射層及該金屬性層暴露至一含氮電漿。
  6. 如請求項3所述之方法,進一步包括以下步驟:將一第二抗反射層沉積至該介電層上方,以使得該金屬性層處於該第一抗反射層與該第二抗反射層之間。
  7. 如請求項1所述之方法,進一步包括以下步驟:藉由毒化該金屬硬掩膜層來修改該金屬硬掩膜層的一斜率。
  8. 一種製造互連結構的方法,包括以下步驟:將一介電層沉積至一第一銅互連結構上方;將一金屬硬掩膜沉積至該介電層上方;在該金屬硬掩膜中蝕刻一金屬硬掩膜開口;蝕刻一互連結構開口的一第一部分至該介電層中;蝕刻該互連結構開口的一第二部分至該介電層中;藉由熱處理該金屬硬掩膜來修改該金屬硬掩膜開口的一側壁;以及穿過該金屬硬掩膜開口將一導電材料沉積至該互連結構 開口中。
  9. 如請求項8所述之方法,其中熱處理該金屬硬掩膜的步驟進一步包括以下步驟:將該金屬硬掩膜暴露至一含氮電漿。
  10. 一種製造互連結構的方法,包括以下步驟:在一基板上方形成一介電層;將一硬掩膜層沉積至該介電層上方;穿過一硬掩膜層開口暴露該介電層的一頂部表面;在該介電層中蝕刻一開口;修改該硬掩膜層開口的一側壁,其中修改該硬掩膜層開口的該側壁之步驟包括:退火該硬掩膜層;以及以一導電材料穿過具有該修改的側壁的該硬掩膜層開口填充該開口。
TW109116978A 2020-01-07 2020-05-21 製造互連結構的方法 TWI729821B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN202010013805.5 2020-01-07
CN202010013805.5A CN113161284A (zh) 2020-01-07 2020-01-07 用于制造互连结构的方法

Publications (2)

Publication Number Publication Date
TWI729821B true TWI729821B (zh) 2021-06-01
TW202127620A TW202127620A (zh) 2021-07-16

Family

ID=76654112

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109116978A TWI729821B (zh) 2020-01-07 2020-05-21 製造互連結構的方法

Country Status (3)

Country Link
US (2) US11450557B2 (zh)
CN (1) CN113161284A (zh)
TW (1) TWI729821B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200908146A (en) * 2007-08-07 2009-02-16 United Microelectronics Corp Dielectric layer structure and manufacturing method thereof
CN104701143A (zh) * 2013-12-10 2015-06-10 台湾积体电路制造股份有限公司 用于鲁棒金属化剖面的双层硬掩模
TW201727709A (zh) * 2015-10-30 2017-08-01 台灣積體電路製造股份有限公司 具有楔形鑲嵌孔洞之半導體結構及其製造方法
WO2019222234A1 (en) * 2018-05-16 2019-11-21 Micromaterials Llc Method for increasing the verticality of pillars
WO2020006532A1 (en) * 2018-06-30 2020-01-02 Lam Research Corporation Zincating and doping of metal liner for liner passivation and adhesion improvement

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461963B1 (en) * 2000-08-30 2002-10-08 Micron Technology, Inc. Utilization of disappearing silicon hard mask for fabrication of semiconductor structures
US6514844B1 (en) * 2001-04-23 2003-02-04 Advanced Micro Devices, Inc. Sidewall treatment for low dielectric constant (low K) materials by ion implantation
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
US7199046B2 (en) * 2003-11-14 2007-04-03 Tokyo Electron Ltd. Structure comprising tunable anti-reflective coating and method of forming thereof
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
DE102009006798B4 (de) * 2009-01-30 2017-06-29 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
JP5834189B2 (ja) * 2010-10-07 2015-12-16 パナソニックIpマネジメント株式会社 半導体装置の製造方法
US8796150B2 (en) * 2011-01-24 2014-08-05 International Business Machines Corporation Bilayer trench first hardmask structure and process for reduced defectivity
US8586478B2 (en) * 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US8735301B2 (en) * 2011-05-24 2014-05-27 United Microelectronics Corp. Method for manufacturing semiconductor integrated circuit
US8883638B2 (en) * 2012-01-18 2014-11-11 United Microelectronics Corp. Method for manufacturing damascene structure involving dummy via holes
US9059250B2 (en) * 2012-02-17 2015-06-16 International Business Machines Corporation Lateral-dimension-reducing metallic hard mask etch
US20130313717A1 (en) * 2012-05-24 2013-11-28 International Business Machines Corporation Spacer for enhancing via pattern overlay tolerence
US8916472B2 (en) * 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
JP6061610B2 (ja) * 2012-10-18 2017-01-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9330915B2 (en) * 2013-12-10 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Surface pre-treatment for hard mask fabrication
US9105697B2 (en) * 2013-12-11 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Trench formation using rounded hard mask
US9202749B2 (en) * 2014-02-06 2015-12-01 International Business Machines Corporation Process methods for advanced interconnect patterning
US9728501B2 (en) * 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
TWI552880B (zh) 2015-12-31 2016-10-11 The Method and Structure of Electroplating Sticker
US9412648B1 (en) * 2016-01-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via patterning using multiple photo multiple etch
US9659811B1 (en) * 2016-07-07 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Manufacturing method of semiconductor device
US9929012B1 (en) * 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10950444B2 (en) * 2018-01-30 2021-03-16 Tokyo Electron Limited Metal hard mask layers for processing of microelectronic workpieces
US10347528B1 (en) * 2018-03-06 2019-07-09 Globalfoundries Inc. Interconnect formation process using wire trench etch prior to via etch, and related interconnect
US10622301B2 (en) * 2018-08-17 2020-04-14 International Business Machines Corporation Method of forming a straight via profile with precise critical dimension control
WO2020258124A1 (en) * 2019-06-27 2020-12-30 Yangtze Memory Technologies Co., Ltd. Interconnect structure and method of forming the same
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200908146A (en) * 2007-08-07 2009-02-16 United Microelectronics Corp Dielectric layer structure and manufacturing method thereof
CN104701143A (zh) * 2013-12-10 2015-06-10 台湾积体电路制造股份有限公司 用于鲁棒金属化剖面的双层硬掩模
TW201727709A (zh) * 2015-10-30 2017-08-01 台灣積體電路製造股份有限公司 具有楔形鑲嵌孔洞之半導體結構及其製造方法
WO2019222234A1 (en) * 2018-05-16 2019-11-21 Micromaterials Llc Method for increasing the verticality of pillars
WO2020006532A1 (en) * 2018-06-30 2020-01-02 Lam Research Corporation Zincating and doping of metal liner for liner passivation and adhesion improvement

Also Published As

Publication number Publication date
US20220384253A1 (en) 2022-12-01
US20210210378A1 (en) 2021-07-08
CN113161284A (zh) 2021-07-23
US11450557B2 (en) 2022-09-20
TW202127620A (zh) 2021-07-16

Similar Documents

Publication Publication Date Title
KR100711526B1 (ko) 구리 연결선을 갖는 반도체 장치의 제조방법
US7884474B2 (en) Method for fabricating semiconductor device and semiconductor device
JP4350337B2 (ja) 半導体装置
US20190363048A1 (en) Via prefill in a fully aligned via
US9218970B2 (en) Stress-controlled formation of TiN hard mask
US7691739B2 (en) Via electromigration improvement by changing the via bottom geometric profile
US7319071B2 (en) Methods for forming a metallic damascene structure
WO2000019524A2 (en) Ic interconnect structures and methods for making same
JPH07307338A (ja) 半導体装置の製造方法および半導体装置
KR0185230B1 (ko) 금속배선 및 반도체장치
TWI729821B (zh) 製造互連結構的方法
US6849536B2 (en) Inter-metal dielectric patterns and method of forming the same
US20050140012A1 (en) Method for forming copper wiring of semiconductor device
US20120115303A1 (en) Method of fabricating damascene structures
US6682999B1 (en) Semiconductor device having multilevel interconnections and method of manufacture thereof
KR100632115B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP2001168192A (ja) 半導体装置の製造方法
KR100987871B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP3998937B2 (ja) 銅金属化プロセスにおけるTaCNバリア層の製造方法
KR100606544B1 (ko) 반도체 소자의 구리 배선 방법
US7326632B2 (en) Method for fabricating metal wirings of semiconductor device
KR0139599B1 (ko) 반도체 장치의 금속배선 형성방법
KR0171016B1 (ko) 반도체 소자의 금속배선방법
KR100698743B1 (ko) 반도체 소자의 제조 방법
JPH1117004A (ja) 半導体装置およびその製造方法