TW201727709A - 具有楔形鑲嵌孔洞之半導體結構及其製造方法 - Google Patents

具有楔形鑲嵌孔洞之半導體結構及其製造方法 Download PDF

Info

Publication number
TW201727709A
TW201727709A TW105135016A TW105135016A TW201727709A TW 201727709 A TW201727709 A TW 201727709A TW 105135016 A TW105135016 A TW 105135016A TW 105135016 A TW105135016 A TW 105135016A TW 201727709 A TW201727709 A TW 201727709A
Authority
TW
Taiwan
Prior art keywords
layer
low
dielectric
semiconductor structure
width
Prior art date
Application number
TW105135016A
Other languages
English (en)
Other versions
TWI610343B (zh
Inventor
陳威廷
張哲誠
呂禎祥
劉又誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201727709A publication Critical patent/TW201727709A/zh
Application granted granted Critical
Publication of TWI610343B publication Critical patent/TWI610343B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本發明揭露一種具有楔形鑲嵌孔洞之半導體結構。該半導體結構包含位於一層間介電(ILD)層上方之一蝕刻停止層、位於該蝕刻停止層上方之一低介電係數介電層及至少進入該低介電係數介電層之一楔形孔洞;其中使用銅(Cu)來填充該楔形孔洞,該孔洞之一口面部分之一寬度自一第一較寬寬度向內漸縮至該孔洞之一底面部分處之一第二較窄寬度,且該楔形孔洞之該底面部分之該寬度小於50 nm。本發明亦揭露製造一半導體結構之相關聯方法。

Description

具有楔形鑲嵌孔洞之半導體結構及其製造方法
本發明係關於一種具有楔形鑲嵌孔洞之半導體結構及其製造方法。
在積體電路設計中,一種用於形成金屬線及通路之常用方法被稱為「鑲嵌」。一般而言,此方法涉及:使一開口形成於使垂直間隔金屬化層分離之一介電層中。通常使用習知微影及蝕刻技術來形成開口。在形成之後,使用銅或銅合金來填充開口。接著,藉由一化學機械拋光(CMP)來移除介電層之表面上之過量銅。剩餘銅或銅合金形成通路及/或金屬線。 使個別裝置互連之金屬化層通常包括一金屬間介電(IMD)層,其中透過矽晶圓之表面上之薄膜之諸多且重複之沉積步驟、圖案化步驟及蝕刻步驟來形成諸如通路及導線之互連結構。儘管過去鋁及鋁合金最多用於金屬化層,但當前趨勢係將銅(Cu)用於金屬化層,此係因為銅具有比鋁更佳之電特性,諸如減小電阻、更高導電性及一更高熔點。 如今,銅因其低電阻率而常用於鑲嵌結構中。通常,將銅電鍍至鑲嵌開口中。如此領域技術人員所熟知,為鍍覆銅,需要一晶種層提供一低電阻電路徑且因此需要該晶種層能夠均勻電鍍於晶圓表面上方,使得鍍覆溶液中之銅離子可被沉積。 在大多數情況中,用於非等向性溝槽蝕刻及雙鑲嵌構造之先前技術方法已試圖達成實質上垂直側壁輪廓。在使一銅晶種層形成於溝槽之側壁及一底部上方之後,可歸因於在溝槽之側壁上晶種層之頂部部分比底部部分厚以導致外伸之事實而發生一頸縮效應。此等外伸形成進一步限制溝槽之口部分處之開口尺寸且因此增加一「夾斷」或「頸縮效應」及一伴隨空隙形成之可能性。不可避免地,隨著最小構件大小不斷減小,晶種層之一輪廓之頸縮效應會負面影響隨後執行之電鍍之品質。 因此,半導體製造之相關領域迫切需要一種用於緩解一鑲嵌操作期間之前述問題之新穎機制。
本揭露之實施例具有若干有利特徵。藉由在沉積步驟中引發重新濺鍍來顯著改良所得晶種層之等形性。後續蝕刻步驟進一步改良所得晶種層之等形性。所得晶種層實質上不外伸。亦減少晶圓之中央部分中之金屬線與晶圓之邊緣部分中之金屬線之間的不對稱性。 本揭露之一些實施例提供一種半導體結構,其包含位於一層間介電(ILD)層上方之一蝕刻停止層、位於該蝕刻停止層上方之一低介電係數介電層及至少進入該低介電係數介電層之一楔形孔洞;其中使用銅(Cu)來填充該楔形孔洞,該孔洞之一口面部分之一寬度自一第一較寬寬度向內漸縮至該孔洞之一底面部分處之一第二較窄寬度,且該楔形孔洞之該底面部分之該寬度小於50 nm。 在本揭露之一些實施例中,該楔形孔洞穿過該低介電係數介電層且停止於該蝕刻停止層處。 在本揭露之一些實施例中,該半導體結構進一步包含覆蓋該孔洞之側壁及該底面之一阻障層。 在本揭露之一些實施例中,該半導體結構進一步包含位於該阻障層上方之一晶種層。 在本揭露之一些實施例中,該蝕刻停止層包含選自基本上由SiC、SIN、四乙酯原矽酸鹽(TEOS)或硬黑鑽石(HBD)組成之一群組之一材料。 在本揭露之一些實施例中,該低介電係數介電層包含具有約3之一介電係數之一低介電係數材料或具有約2.5之一介電係數之一超低介電係數材料。 在本揭露之一些實施例中,該阻障層包含選自基本上由氮化鉭、鉭、鈦及氮化鈦組成之一群組之一材料。 在本揭露之一些實施例中,該晶種層包含銅(Cu)。 本揭露之一些實施例提供一種製造一半導體結構之方法。該方法包含:使一蝕刻停止層形成於一層間介電(ILD)層上方;使一低介電係數介電層形成於該蝕刻停止層上;使一無氮抗反射層(NFARL)形成於該低介電係數介電層上方;使一金屬硬遮罩(MHM)層形成於該NFARL上方;及形成至少穿過該MHM層、該NFARL而進入該低介電係數介電層之一楔形孔洞;其中使用銅來填充該楔形孔洞,該孔洞之一口面部分之一寬度自一第一較寬寬度向內漸縮至該孔洞之一底面部分處之一第二較窄寬度,且該楔形孔洞之該底面部分之該寬度小於50 nm。 在本揭露之一些實施例中,該楔形孔洞穿過該低介電係數介電層且停止於該蝕刻停止層處。 在本揭露之一些實施例中,該方法進一步包含:沉積覆蓋該孔洞之側壁及該底面之一阻障層。 在本揭露之一些實施例中,該方法進一步包含:將一晶種層沉積於該阻障層上方。 在本揭露之一些實施例中,該MHM層包含一TiN材料。 在本揭露之一些實施例中,該孔洞之該頂角周圍之上端處之該口面部分可具有自剖面圖所見之一幾何多邊形形狀。 在本揭露之一些實施例中,該孔洞之頂角周圍之上端處之該口面部分可具有自剖面圖所見之一連續彎曲形狀。 本揭露之一些實施例提供一種製造一半導體結構之方法。該方法包含:使一蝕刻停止層形成於一層間介電(ILD)層上方;使一低介電係數介電層形成於該蝕刻停止層上方;使一無氮抗反射層(NFARL)形成於該低介電係數介電層上方;使一金屬硬遮罩(MHM)層形成於該NFARL上方;及執行一蝕刻操作以形成至少穿過該MHM層、該NFARL而進入該低介電係數介電層之一楔形孔洞;其中使用銅來填充該楔形孔洞,該孔洞之一口面部分之一寬度自一第一較寬寬度向內漸縮至該孔洞之一底面部分處之一第二較窄寬度,且該楔形孔洞之該底面部分之該寬度小於50 nm。 在本揭露之一些實施例中,該楔形孔洞穿過該低介電係數介電層且停止於該蝕刻停止層處。 在本揭露之一些實施例中,執行該蝕刻操作包含:施加具有約100 W至約1000 W之一範圍之一蝕刻偏壓功率。 在本揭露之一些實施例中,執行該蝕刻操作包含:使用包含八氟環丁烷(C4 F8 )氣體之電漿蝕刻氣體。 在本揭露之一些實施例中,執行該蝕刻操作包括:使用包含四氟甲烷(CF4 )氣體之電漿蝕刻氣體。
以下揭露提供用於實施本發明之不同特徵之諸多不同實施例或實例。下文將描述組件及配置之特定實例以簡化本揭露。當然,此等僅為實例且不意欲具限制性。例如,在以下描述中,「使一第一構件形成於一第二構件上方或一第二構件上」可包含其中形成直接接觸之該第一構件及該第二構件之實施例,且亦可包含其中可形成介於該第一構件與該第二構件之間的額外構件使得該第一構件及該第二構件可不直接接觸之實施例。此外,本揭露可在各種實例中重複元件符號及/或字母。此重複係為了簡化及清楚且其本身不指示所討論之各種實施例及/或組態之間的一關係。 此外,在本文中,諸如「下面」、「下方」、「下」、「上方」、「上」及其類似者之空間相對術語可用於使描述一元件或構件與另外(若干)元件或(若干)構件之關係之描述較容易,如圖中所繪示。空間相對術語除涵蓋圖中所描繪之定向之外,亦意欲涵蓋裝置在使用或操作中之不同定向。設備可依其他方式定向(旋轉90度或依其他定向)且亦可相應地解譯本文所使用之空間相對描述詞。 儘管闡述本發明之寬泛範疇之數值範圍及參數係近似值,但應儘可能精確地報告特定實例中所闡述之數值。然而,任何數值固有地含有由各自測試量測中所發現之標準偏差引起之某些必然誤差。另外,如本文所使用,術語「約」大體上意謂在一給定值或範圍之10%、5%、1%或0.5%內。替代地,如由一般技術者所考量,術語「約」意謂在平均值之一可接受標準誤差內。除在操作/工作實例中之外,或除非另有明確規定,否則在所有例項中,本文所揭露之所有數值範圍、數量、值及百分比(諸如材料數量、持續時間、溫度、操作條件、數量比及其類似者之數值範圍、數量、值及百分比)應被理解為由術語「約」修飾。相應地,除非指示相反,否則本揭露及其隨附申請專利範圍中所闡述之數值參數係可視需要變動之近似值。至少應鑑於所報告之有效數位之數目且藉由應用一般捨入技術來解釋各數值參數。在本文中,範圍可被表達為自一端點至另一端點或介於兩個端點之間。除非另有規定,否則本文所揭露之所有範圍包含端點。 一般而言,積體電路(IC)包含形成於一基板上之個別裝置,諸如電晶體、電容器或其類似者。接著,使一或多個金屬化層形成於個別裝置上以提供個別裝置之間的連接且提供至外部裝置之連接。前段製程(FEOL)係其中在一晶圓中圖案化個別裝置(電晶體、電容器、電阻器等等)之IC製造之第一部分。FEOL大體上涵蓋直至(但不包含)金屬化層之沉積之一切操作。後段製程(BEOL)(亦稱為「鑲嵌操作」)係其中個別裝置與晶圓上之佈線層或金屬化層互連之IC製造之第二部分。 本揭露提供一種具有楔形剖面輪廓之鑲嵌孔洞及一種相關聯方法。該鑲嵌孔洞之一口面部分之一寬度自一第一較寬寬度向內漸縮至該孔洞之一底面部分處之一第二較窄寬度,且該楔形孔洞之該底面部分之該寬度小於一特定尺寸,例如50 nm。該鑲嵌孔洞包含通路或溝槽。將藉由有意控制該等開口之形狀來顯著緩解頸縮效應,尤其是緩解具有最小構件大小之開口之頸縮效應。因此,可改良隨後執行之電鍍之品質。例如,電鍍之方案可具有一增強轟擊能力。下文將描述關於本揭露之更多細節。 圖1繪示根據本揭露之一實施例之一半導體結構之形成中之一FEOL階段之一剖面圖。圖1之半導體結構包含形成於一底層202上之諸如電晶體之個別裝置。底層202係其中可形成電晶體之複數個汲極區及源極區之一基板層。基板層202可包含(例如)塊矽(摻雜或無摻雜)或一絕緣體上覆半導體(SOI)基板之一主動層。一般而言,一SOI基板包含形成於一絕緣體層上之一半導體材料(諸如矽)層。絕緣體層可為(例如)一埋藏氧化物(BOX)層或矽氧化物層。絕緣體層設置於一基板(通常為一矽或玻璃基板)上。亦可使用諸如一多層或梯度基板之其他基板。 電晶體可包含一閘極結構205且閘極結構205可為一平面或三維(諸如FinFET)閘極。可選擇諸如金屬、多晶矽或金屬合金之各種材料用於閘極結構205。 在一些實施例中,底層202可包含諸如N型金屬氧化物半導體(NMOS)裝置及/或P型金屬氧化物半導體(PMOS)裝置之電裝置之p型及/或n型摻雜區。N型/P型裝置可包含經互連以執行一或多個功能之電晶體、電容器、電阻器、二極體、光二極體、保險絲及其類似者。功能可包含記憶體結構、處理結構、感測器、放大器、功率分佈、輸入/輸出電路或其類似者。 淺溝槽隔離層(STI) 210或其他隔離結構可形成於底層202中以隔離裝置區。可藉由蝕刻底層202 (其藉由使用光微影技術來形成凹槽)來形成STI 210。一般而言,光微影涉及:沉積隨後被遮罩、暴露及顯影之一光阻材料。在圖案化光阻遮罩之後,可執行一蝕刻製程來移除底層202之無用部分。在其中基板包含塊矽之一實施例中,蝕刻製程可為一濕式或乾式非等向性或等向性蝕刻製程。接著,使用藉由任何氧化製程(諸如包括氧化物、H2 O、NO或其等之一組合之一周圍環境中之濕式或乾式熱氧化)或化學氣相沉積(CVD)技術(其使用四乙酯原矽酸鹽(TEOS)及氧氣作為一前驅物)來形成之一介電材料(諸如氧化層)來填充凹槽。可執行一平坦化步驟來使隔離材料之表面與底層202之一頂面齊平。可使用(例如)此項技術中已知及使用之一化學機械拋光(CMP)製程來完成平坦化步驟。 使一絕緣層(即,一層間介電(ILD)層206)形成於底層202上方。ILD層206可包含一低介電係數(介電係數值小於約3.0)材料或一超低介電係數(介電係數值小於約2.5)材料。例如,ILD層206可包含氧化物、SiO2 、硼磷矽酸鹽玻璃(BPSG)、TEOS、旋塗玻璃(SOG)、無摻雜矽酸鹽玻璃(USG)、氟化矽酸鹽玻璃(FSG)、高密度電漿(HDP)氧化物或電漿輔助TEOS (PETEOS)。可執行諸如化學機械拋光(CMP)之一平坦化製程來平坦化ILD層206。 使諸如電晶體、電容器、電阻器、二極體、光二極體、保險絲、STI及其類似者之個別裝置形成於底層202及ILD層206內之製程可統稱為FEOL製程,其係其中在一晶圓中圖案化個別裝置(電晶體、電容器、電阻器等等)之IC製造之第一部分。FEOL大體上涵蓋直至(但不包含)金屬化層之沉積之一切操作。 繼FEOL製程之後,進行後段製程(BEOL),其係其中個別裝置與IC上之佈線層或金屬化層互連之IC製造之第二部分。 圖2繪示根據本揭露之一實施例之一半導體結構之形成中之包含繼圖1之後的BEOL階段之一剖面圖。圖2中所展示之一例示性IMD層402可包含一低介電係數(k值)材料或一超低介電係數(ELK)材料。一般而言,一低介電係數材料具有小於約3.5之一介電係數,且一ELK介電材料具有小於約2.8之一介電係數。ELK材料包含(例如)摻碳二氧化矽及多孔二氧化矽。可執行諸如化學機械拋光(CMP)之一平坦化製程來平坦化IMD層402。 如圖2中所繪示,IMD層402可為一複合膜。將諸如類似或不同材料之層4022、4024、4026及4028之若干層堆疊於ILD層206上。層4022、4024、4026及4028可為IMD層402之部分且藉由包含化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、高密度電漿化學氣相沉積(HDP-CVD)及大氣壓化學氣相沉積(APCVD)之方法來沉積層4022、4024、4026及4028。 如圖2中所繪示,可使一蝕刻停止層4022形成於ILD層206上。除傳達一蝕刻製程之終止點訊號之外,蝕刻停止層4022亦在蝕刻製程期間保護任何下伏層。蝕刻停止層4022可包含複數個層。蝕刻停止層4022之材料可包含SiC、SIN、TEOS、硬黑鑽石(HBD)或其類似者。替代地,可藉由沉積及退火一金屬氧化物材料(其可包含鉿、二氧化鉿(HfO2 )或鋁)來形成蝕刻停止層4022。 可使一低介電係數或超低介電係數(ELK)介電層4024形成於蝕刻停止層4022上。低介電係數或ELK介電層4024可包含諸如氟化矽酸鹽玻璃(FSG)、含碳介電材料之常用材料,且可進一步含有氮、氫、氧及其等之組合。一多孔結構可存在於低介電係數或ELK介電層4024中以用於降低其介電係數值。低介電係數或ELK介電材料可包含可藉由諸如旋塗之一旋塗方法來施加或沉積之一基於SiOC之旋塗材料。替代地,可藉由一化學氣相沉積(CVD)來沉積低介電係數或ELK介電材料。 可使一無氮抗反射層(NFARL) 4026 (其可稱為抗反射塗層(ARC))形成於低介電係數或ELK介電層4024上。形成鑲嵌結構需要使用微影製程。諸多下伏材料層可包括反射用於微影製程中之紫外線之能量敏感光阻材料。此等反射可扭曲構件(諸如形成於能量敏感材料中之線及通路)之尺寸。一ARC或抗反射層(ARL)可用以使來自一下伏材料層之反射最小化。ARC抑制光阻成像期間之下伏材料層之反射以提供一能量敏感光阻層之準確圖案複製。習知ARC材料可含有氮,其包含氮化矽及氮化鈦。ARC層中之氮可以化學方法更改光阻材料之組合物。氮與光阻材料之間的化學反應被稱為光阻中毒。無法如預期般微影圖案化經更改之光阻材料以導致光阻材料之不精確形成構件,其會對諸如蝕刻製程之後續製程造成不利影響。因此,NFARL 4026用作為低介電係數或ELK層4024上方之較佳層。 可使一金屬硬遮罩(MHM)層4028視情況形成於NFARL層4026上。MHM層4028可包含TiN材料。MHM層4028可包含諸如Ti、Ta、W、TaN或WN之另一材料。 圖3繪示根據本揭露之一實施例之一半導體結構之形成中之繼圖2之後的BEOL階段之一剖面圖。可將一光阻層4030定義於MHM層4028上。需要基於通路及溝槽之所要佈局組態來圖案化光阻層4030,如圖3中所展示。依此方式,當鑲嵌操作前進至後一步驟時,可根據光阻層4030之圖案來蝕刻通路及溝槽。光阻層4030可包含黏著劑、敏化劑及溶劑。其可為一正光阻劑或一負光阻劑。可藉由旋塗方法來使光阻層4030形成於一旋轉卡盤上。 藉由一蝕刻製程來將光阻層4030轉印至MHM層4028中。較佳地選擇該蝕刻製程以確保NFARL 4026將在MHM層4028之任何過蝕刻期間保持完好。其後,藉由諸如濕式化學蝕刻之一製程來移除光阻層4030以形成圖4之結構。在此實施例中,於圖5中沉積一複合光阻層。複合光阻層包含一第一層4032、一第二層4034及一第三層4036,如圖5中所展示。在圖6中,圖6中所展示之兩個溝槽開口602及604形成於複合光阻層中。溝槽開口向下穿透第三層4036以暴露第二層4034。 接著,在以下之一條件下處理溝槽開口602及604:約1毫托至約150毫托之一壓力範圍;約攝氏10度至約攝氏70度之一溫度範圍;具有約100 W至約1500 W之一範圍之一蝕刻偏壓功率。蝕刻方案亦可包含具有CF4 、H2 、N2 、C4 F8 (八氟環丁烷)、O2 及CH2 F2 之一電漿蝕刻氣體。如圖7中可見,將溝槽開口602及604變換成溝槽702及704,且在相同操作期間同時移除第二層4034及第三層4036。溝槽702及704經形成以暴露介電層4024。請注意,溝槽702及704之各者具有比形成於圖4之MHM層4028中之開口之直徑窄之一直徑。 接著,將剩餘第一層4032暴露於以下之一環境:約1毫托至約150毫托之一壓力範圍;約攝氏10度至約攝氏70度之一溫度範圍;具有約100 W至約1000 W之一範圍之一蝕刻偏壓功率。蝕刻方案亦可包含具有CO2 、C4 H8 、CF4 、O2 、N2 及Ar之一電漿蝕刻氣體。在移除第一層4032之後,如圖8中所繪示,蝕刻方案可經調整以包含具有C4 H8 、CF4 、O2 、N2 及Ar之一電漿蝕刻氣體來獲得圖9之所要結構。 圖9繪示根據本揭露之一實施例之一半導體結構之形成中之繼圖8之後的BEOL階段之一剖面圖。IMD層402之部分經蝕除以形成諸如溝槽及通路之內凹構件,該等內凹構件可連接IC之不同區且容納導線。僅產生溝槽或通路之一鑲嵌製程被稱為一單鑲嵌製程。同時產生溝槽及通路兩者之一鑲嵌製程被稱為一雙鑲嵌製程。鑲嵌製程及雙鑲嵌製程使用諸如銅之較低電阻金屬而非常用鋁來形成諸多金屬元件(例如線、互連件及其類似者)。 不同金屬化層之間的互連件由通路形成。通路穿過使不同金屬化層分離之絕緣IMD層402且允許其他金屬化層之互連件之間連通或與底層202中之半導體裝置直接連通。 如圖9中所繪示,可採用一單鑲嵌製程或雙鑲嵌製程來產生一較高金屬化層之通路及導線。雙鑲嵌製程可為先通路後溝槽(VFTL)方法或先溝槽後通路(TFVL)方法。包含層4028、4026、4024及4022之層經蝕刻以產生通路開口或通路孔及傳導路徑之溝槽。圖9中展示一高縱橫比溝槽502及高縱橫比通路開口504及506。如本文所採用,術語「高縱橫比」凹槽係指具有至少2:1之一深度與寬度比率及高達約5:1 (包含5:1)之一比率之一開口。 本揭露之概念係用於解決在待形成於金屬化層中之通路或溝槽之臨界尺寸(CD)變小時出現之問題。在物理氣相沉積(PVD)、CVD製程或原子層沉積(ALD)中,阻障層或銅晶種層可優先沉積於通路或溝槽,尤其是具有高深度與寬度縱橫比之通路或溝槽,之頂角附近以導致一「瓶頸」形狀,即,頸縮效應。將金屬進一步鍍覆至瓶頸上可導致在使用金屬來完全填充通路或溝槽之前密封通路或溝槽之頂部以因此產生一空隙。歸因於缺少一計劃導體,空隙使導體之電阻增大至超過其設計值。另外,密封空隙中之截留電解質會腐蝕金屬。此可導致裝置效能降級或在極端情況下導致裝置失效。為緩解上述問題,圖9中所展示之溝槽502及通路開口504、506經有意組態以具有含一修圓頂角邊緣之一楔形輪廓。在此實施例中,使修圓頂角及楔形溝槽或通路原位(in situ)形成於IMD層402中。 在圖9中,形成於ILD層206頂上之層4028、4026、4024及4022中之溝槽502及通路開口504、506包含(為了以下討論)一口面部分、一內壁表面部分及一底面部分。例如,通路孔504具有:一口面部分504_2,其位於頂角周圍之上端處;一底面部分504_6,其位於下端處;及一內壁表面部分504_4,其位於口面部分504_2與底面部分504_6之中間處。如圖中所繪示,口面部分504_2之寬度自非內凹表面S處之一第一較寬寬度W1依一第一斜率向內漸縮至表面S下方之一第一深度H1處之一第二較窄寬度W2以形成一修圓頂角。內壁表面部分504_4之寬度自表面S下方之第一深度H1處之第二寬度W2依一第二斜率向內漸縮至位於第一深度H1下方之一第二深度H2處之一底面504_6處之一第三較窄寬度W3,使得總深度H3=H1+H2。例如(但不限於),對於一典型凹槽或開口(諸如用於本文預期使用之高密度半導體裝置中之互連選路之一通路孔或溝槽),底端部分504_6處之寬度W3小於約50 nm,其小於口面部分504_2之寬度W1及內壁表面部分504_4之寬度W2。表面S下方之總深度H3係約1000 Å至約3000 Å。然而,此並非為本揭露之一限制。 在一些實施例中,口面部分504_2之寬度自非內凹表面S處之第一較寬寬度W1依一變動斜率向內漸縮至表面S下方之第一深度H1處之第二較窄寬度W2。例如,在另一實施例中,通路孔之口面部分504_2可具有自如圖10中所展示之剖面圖所見之一幾何多邊形形狀。對於另一實例,在又一實施例中,通路孔之口面部分504_2可具有自如圖11中所展示之剖面圖所見之一連續彎曲形狀。請注意,本揭露不受限於上文所提及之幾何形狀。實質上修圓頂角之類似輪廓亦落入本揭露之預期範疇內。 圖12繪示根據本揭露之一實施例之一半導體結構之形成中之繼圖9之後的BEOL階段之一剖面圖。如圖12中所繪示,一薄阻障層802可經沉積以覆蓋溝槽502及通路開口504及506之側壁及一底部。可使用諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)、電漿輔助CVD (PECVD)、電漿輔助物理氣相沉積(PEPVD)、原子層沉積(ALD)、此等之組合或其類似者之一製程來形成阻障層802。阻障層802可包含氮化鉭,但可替代地使用諸如鉭、鈦、氮化鈦、此等之組合及其類似者之其他材料。阻障膜802用以防止介電質中之銅擴散。可看出,阻障層802之部分802_2之一厚度通常大於阻障層802之部分802_4之一厚度。過量部分802_2突出超過部分802_4經常被稱為「外伸」。在此實施例中,由於擴大頂部開口且形成楔形輪廓,所以後續銅填充操作不會因由形成於溝槽502及通路開口504、506之頂角周圍之外伸部分引起之頸縮效應而受顯著影響。因此,可緩解無用間隙或空隙之問題。 圖13繪示根據本揭露之一實施例之一半導體結構之形成中之繼圖12之後的BEOL階段之一剖面圖。可使一晶種層902形成於阻障層802上以改良電極表面之品質,使得可使待在下一步驟中沉積之銅或銅合金實現高品質鍍覆。在一例示性沉積製程中,首先引入氬氣來產生氬氣電漿。將帶正電之氬離子吸引至帶負電之銅或銅合金材料以引起一轟擊。因此,銅離子自銅或銅合金材料濺射且沉積至阻障層802上以形成晶種層902。晶種層902係其上將鍍覆一金屬層之表面上之一薄銅層。化學氣相沉積(CVD)可用以沉積晶種層902。在形成晶種層902之前,視情況預清潔阻障層802。預清潔顯著改良阻障層802之表面紋理,使得隨後形成之晶種層902可更等形。 圖14繪示根據本揭露之一實施例之一半導體結構之形成中之繼圖13之後的BEOL階段之一剖面圖。可將諸如銅之一導電材料1002放置於晶種層902上、放置至開口502、504及506中以形成通路及導線。可藉由一電化學鍍覆製程來形成導電材料1002。圖15繪示根據本揭露之一實施例之一半導體結構之形成中之繼圖14之後的BEOL階段之一剖面圖。可朝向底層202執行諸如一化學機械拋光(CMP)製程之一平坦化製程以暴露低介電係數或ELK介電層4024。 用於形成圖9至圖11中所展示之半導體結構之上文所提及之方法較佳地應用於一N20技術節點(20 nm製程)及以上,此係因為通路開口之頸縮或變窄在高縱橫比通路中更嚴重。開口之此頸縮或變窄會對後續製程造成不利影響,其包含黏著/阻障層沉積及金屬填充沉積經常導致諸如電路徑斷路之降級裝置功能。 本揭露之實施例具有若干有利特徵。藉由在沉積步驟中引發重新濺鍍來顯著改良所得晶種層之等形性。後續蝕刻步驟進一步改良所得晶種層之等形性。所得晶種層實質上不外伸。亦減少晶圓之中央部分中之金屬線與晶圓之邊緣部分中之金屬線之間的不對稱性。 本揭露之一些實施例提供一種半導體結構,其包含位於一層間介電(ILD)層上方之一蝕刻停止層、位於該蝕刻停止層上方之一低介電係數介電層及至少進入該低介電係數介電層之一楔形孔洞;其中使用銅(Cu)來填充該楔形孔洞,該孔洞之一口面部分之一寬度自一第一較寬寬度向內漸縮至該孔洞之一底面部分處之一第二較窄寬度,且該楔形孔洞之該底面部分之該寬度小於50 nm。 在本揭露之一些實施例中,該楔形孔洞穿過該低介電係數介電層且停止於該蝕刻停止層處。 在本揭露之一些實施例中,該半導體結構進一步包含覆蓋該孔洞之側壁及該底面之一阻障層。 在本揭露之一些實施例中,該半導體結構進一步包含位於該阻障層上方之一晶種層。 在本揭露之一些實施例中,該蝕刻停止層包含選自基本上由SiC、SIN、四乙酯原矽酸鹽(TEOS)或硬黑鑽石(HBD)組成之一群組之一材料。 在本揭露之一些實施例中,該低介電係數介電層包含具有約3之一介電係數之一低介電係數材料或具有約2.5之一介電係數之一超低介電係數材料。 在本揭露之一些實施例中,該阻障層包含選自基本上由氮化鉭、鉭、鈦及氮化鈦組成之一群組之一材料。 在本揭露之一些實施例中,該晶種層包含銅(Cu)。 本揭露之一些實施例提供一種製造一半導體結構之方法。該方法包含:使一蝕刻停止層形成於一層間介電(ILD)層上方;使一低介電係數介電層形成於該蝕刻停止層上;使一無氮抗反射層(NFARL)形成於該低介電係數介電層上方;使一金屬硬遮罩(MHM)層形成於該NFARL上方;及形成至少穿過該MHM層、該NFARL而進入該低介電係數介電層之一楔形孔洞;其中使用銅來填充該楔形孔洞,該孔洞之一口面部分之一寬度自一第一較寬寬度向內漸縮至該孔洞之一底面部分處之一第二較窄寬度,且該楔形孔洞之該底面部分之該寬度小於50 nm。 在本揭露之一些實施例中,該楔形孔洞穿過該低介電係數介電層且停止於該蝕刻停止層處。 在本揭露之一些實施例中,該方法進一步包含:沉積覆蓋該孔洞之側壁及該底面之一阻障層。 在本揭露之一些實施例中,該方法進一步包含:將一晶種層沉積於該阻障層上方。 在本揭露之一些實施例中,該MHM層包含一TiN材料。 在本揭露之一些實施例中,該孔洞之該頂角周圍之上端處之該口面部分可具有自剖面圖所見之一幾何多邊形形狀。 在本揭露之一些實施例中,該孔洞之頂角周圍之上端處之該口面部分可具有自剖面圖所見之一連續彎曲形狀。 本揭露之一些實施例提供一種製造一半導體結構之方法。該方法包含:使一蝕刻停止層形成於一層間介電(ILD)層上方;使一低介電係數介電層形成於該蝕刻停止層上方;使一無氮抗反射層(NFARL)形成於該低介電係數介電層上方;使一金屬硬遮罩(MHM)層形成於該NFARL上方;及執行一蝕刻操作以形成至少穿過該MHM層、該NFARL而進入該低介電係數介電層之一楔形孔洞;其中使用銅來填充該楔形孔洞,該孔洞之一口面部分之一寬度自一第一較寬寬度向內漸縮至該孔洞之一底面部分處之一第二較窄寬度,且該楔形孔洞之該底面部分之該寬度小於50 nm。 在本揭露之一些實施例中,該楔形孔洞穿過該低介電係數介電層且停止於該蝕刻停止層處。 在本揭露之一些實施例中,執行該蝕刻操作包含:施加具有約100 W至約1000 W之一範圍之一蝕刻偏壓功率。 在本揭露之一些實施例中,執行該蝕刻操作包含:使用包含八氟環丁烷(C4 F8 )氣體之電漿蝕刻氣體。 在本揭露之一些實施例中,執行該蝕刻操作包括:使用包含四氟甲烷(CF4 )氣體之電漿蝕刻氣體。 上文概述了若干實施例之特徵,使得熟習技術者可較佳理解本揭露之態樣。熟習技術者應瞭解,其可容易地使用本揭露作為設計或修改用於實施相同目的及/或達成本文所引入之實施例之相同優點之其他製程及結構之一基礎。熟習技術者亦應認識到,此等等效構造不應背離本揭露之精神及範疇,且其可在不背離本揭露之精神及範疇之情況下對本文作出各種改變、取代及更改。
202‧‧‧底層/基板層 206‧‧‧層間介電(ILD)層 210‧‧‧淺溝槽隔離層(STI) 402‧‧‧金屬間介電(IMD)層 502‧‧‧溝槽/開口 504‧‧‧通路開口 504_2‧‧‧口面部分 504_4‧‧‧內壁表面部分 504_6‧‧‧底面部分/底端部分 506‧‧‧通路開口 602‧‧‧溝槽開口 604‧‧‧溝槽開口 702‧‧‧溝槽 704‧‧‧溝槽 802‧‧‧阻障層/阻障膜 802_2‧‧‧部分 802_4‧‧‧部分 902‧‧‧晶種層 1002‧‧‧導電材料 4022‧‧‧蝕刻停止層 4024‧‧‧低介電係數介電層/超低介電係數(ELK)介電層 4026‧‧‧無氮抗反射層(NFARL) 4028‧‧‧金屬硬遮罩(MHM)層 4030‧‧‧光阻層 4032‧‧‧第一層 4034‧‧‧第二層 4036‧‧‧第三層 H1‧‧‧第一深度 H2‧‧‧第二深度 H3‧‧‧總深度 S‧‧‧非內凹表面 W1‧‧‧第一較寬寬度 W2‧‧‧第二較窄寬度 W3‧‧‧第三較窄寬度
自結合附圖來解讀之以下詳細描述最佳地理解本揭露之態樣。應注意,根據產業中之標準實踐,各種構件未按比例繪製。事實上,為使討論清楚,可任意增大或減小各種構件之尺寸。 圖1繪示根據本揭露之一實施例之一半導體結構之形成中之一FEOL階段之一剖面圖; 圖2至圖9繪示根據本揭露之一實施例之一半導體結構之形成中之包含繼圖1之後的BEOL階段之剖面圖; 圖10繪示根據本揭露之一第二實施例之BEOL階段之一剖面圖; 圖11繪示根據本揭露之一第三實施例之BEOL階段之一剖面圖; 圖12繪示根據本揭露之一實施例之一半導體結構之形成中之繼圖9之後的BEOL階段之一剖面圖; 圖13繪示根據本揭露之一實施例之一半導體結構之形成中之繼圖12之後的BEOL階段之一剖面圖; 圖14繪示根據本揭露之一實施例之一半導體結構之形成中之繼圖13之後的BEOL階段之一剖面圖;及 圖15繪示根據本揭露之一實施例之一半導體結構之形成中之繼圖14之後的BEOL階段之一剖面圖。
202‧‧‧底層/基板層
206‧‧‧層間介電(ILD)層
210‧‧‧淺溝槽隔離層(STI)
502‧‧‧溝槽/開口
504‧‧‧通路開口
506‧‧‧通路開口
4022‧‧‧蝕刻停止層
4024‧‧‧低介電係數介電層/超低介電係數(ELK)介電層

Claims (10)

  1. 一種半導體結構,其包括: 一蝕刻停止層,其位於一層間介電(ILD)層上方; 一低介電係數介電層,其位於該蝕刻停止層上方;及 一楔形孔洞,其至少進入該低介電係數介電層; 其中使用銅(Cu)來填充該楔形孔洞,該孔洞之一口面部分之一寬度自一第一較寬寬度向內漸縮至該孔洞之一底面部分處之一第二較窄寬度,且該楔形孔洞之該底面部分之該寬度小於50 nm。
  2. 如請求項1之半導體結構,其中該楔形孔洞穿過該低介電係數介電層且停止於該蝕刻停止層處。
  3. 如請求項1之半導體結構,其進一步包括覆蓋該孔洞之側壁及該底面之一阻障層。
  4. 如請求項3之半導體結構,其進一步包括位於該阻障層上之一晶種層。
  5. 如請求項1之半導體結構,其中該蝕刻停止層包括選自基本上由SiC、SIN、四乙酯原矽酸鹽(TEOS)或硬黑鑽石(HBD)組成之一群組之一材料。
  6. 如請求項1之半導體結構,其中該低介電係數介電層包括具有約3之一介電係數之一低介電係數材料或具有約2.5之一介電係數之一超低介電係數材料。
  7. 如請求項3之半導體結構,其中該阻障層包括選自基本上由氮化鉭、鉭、鈦及氮化鈦組成之一群組之一材料。
  8. 如請求項4之半導體結構,其中該晶種層包括銅(Cu)。
  9. 一種製造一半導體結構之方法,其包括: 使一蝕刻停止層形成於一層間介電(ILD)層上方; 使一低介電係數介電層形成於該蝕刻停止層上方; 使一無氮抗反射層(NFARL)形成於該低介電係數介電層上方; 使一金屬硬遮罩(MHM)層形成於該NFARL上方;及 形成至少穿過該MHM層、該NFARL而進入該低介電係數介電層之一楔形孔洞; 其中使用銅來填充該楔形孔洞,該孔洞之一口面部分之一寬度自一第一較寬寬度向內漸縮至該孔洞之一底面部分處之一第二較窄寬度,且該楔形孔洞之該底面部分之該寬度小於50 nm。
  10. 一種製造一半導體結構之方法,其包括: 使一蝕刻停止層形成於一層間介電(ILD)層上方; 使一低介電係數介電層形成於該蝕刻停止層上方; 使一無氮抗反射層(NFARL)形成於該低介電係數介電層上方; 使一金屬硬遮罩(MHM)層形成於該NFARL上方;及 執行一蝕刻操作以形成至少穿過該MHM層、該NFARL而進入該低介電係數介電層之一楔形孔洞; 其中使用銅來填充該楔形孔洞,該孔洞之一口面部分之一寬度自一第一較寬寬度向內漸縮至該孔洞之一底面部分處之一第二較窄寬度,且該楔形孔洞之該底面部分之該寬度小於50 nm。
TW105135016A 2015-10-30 2016-10-28 具有楔形鑲嵌孔洞之半導體結構及其製造方法 TWI610343B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/928,633 US9679850B2 (en) 2015-10-30 2015-10-30 Method of fabricating semiconductor structure
US14/928,633 2015-10-30

Publications (2)

Publication Number Publication Date
TW201727709A true TW201727709A (zh) 2017-08-01
TWI610343B TWI610343B (zh) 2018-01-01

Family

ID=58637369

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105135016A TWI610343B (zh) 2015-10-30 2016-10-28 具有楔形鑲嵌孔洞之半導體結構及其製造方法

Country Status (3)

Country Link
US (3) US9679850B2 (zh)
CN (1) CN107017225B (zh)
TW (1) TWI610343B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI729821B (zh) * 2020-01-07 2021-06-01 台灣積體電路製造股份有限公司 製造互連結構的方法
CN113284875A (zh) * 2020-02-19 2021-08-20 南亚科技股份有限公司 半导体元件及其制备方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9917027B2 (en) * 2015-12-30 2018-03-13 Globalfoundries Singapore Pte. Ltd. Integrated circuits with aluminum via structures and methods for fabricating the same
US12087692B2 (en) * 2017-09-28 2024-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Hardened interlayer dielectric layer
JP2019117855A (ja) * 2017-12-27 2019-07-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
EP3569568B1 (en) 2018-05-18 2023-08-02 ams AG Method for manufacturing an etch stop layer and mems sensor comprising an etch stop layer
US10978388B2 (en) * 2018-10-08 2021-04-13 International Business Machines Corporation Skip via for metal interconnects
US11270936B2 (en) * 2018-10-31 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including supervia and method of making
US11158571B2 (en) * 2018-12-20 2021-10-26 Micron Technology, Inc. Devices including conductive interconnect structures, related electronic systems, and related methods
US11355391B2 (en) * 2019-03-18 2022-06-07 Applied Materials, Inc. Method for forming a metal gapfill
US10770392B1 (en) * 2019-04-25 2020-09-08 Globalfoundries Inc. Line end structures for semiconductor devices
US11227794B2 (en) * 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure
US11715640B2 (en) 2020-09-30 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including silicon-containing layer and method for semiconductor device fabrication
KR20220058682A (ko) 2020-10-29 2022-05-10 삼성전자주식회사 반도체 장치
CN113809089B (zh) * 2021-09-14 2024-09-06 长江存储科技有限责任公司 半导体结构、制作方法及三维存储器

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4871630A (en) * 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
US4801350A (en) * 1986-12-29 1989-01-31 Motorola, Inc. Method for obtaining submicron features from optical lithography technology
US5895740A (en) * 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US5854119A (en) * 1998-04-13 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Robust method of forming a cylinder capacitor for DRAM circuits
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6399486B1 (en) * 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW471107B (en) * 2000-11-27 2002-01-01 Nanya Technology Corp Dual damascene manufacturing method of porous low-k dielectric material
KR100360414B1 (ko) * 2001-01-05 2002-11-13 삼성전자 주식회사 트윈 비트 결함을 방지하는 실린더형 커패시터의 하부전극형성방법
US6649517B2 (en) * 2001-05-18 2003-11-18 Chartered Semiconductor Manufacturing Ltd. Copper metal structure for the reduction of intra-metal capacitance
KR100386622B1 (ko) * 2001-06-27 2003-06-09 주식회사 하이닉스반도체 듀얼 다마신 배선 형성방법
US7071095B2 (en) * 2004-05-20 2006-07-04 Taiwan Semiconductor Manufacturing Company Barrier metal re-distribution process for resistivity reduction
KR100568257B1 (ko) * 2004-07-29 2006-04-07 삼성전자주식회사 듀얼 다마신 배선의 제조방법
US7176119B2 (en) * 2004-09-20 2007-02-13 International Business Machines Corporation Method of fabricating copper damascene and dual damascene interconnect wiring
US7259463B2 (en) * 2004-12-03 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene interconnect structure with cap layer
US7695632B2 (en) * 2005-05-31 2010-04-13 Lam Research Corporation Critical dimension reduction and roughness control
US20070105362A1 (en) * 2005-11-09 2007-05-10 Kim Jae H Methods of forming contact structures in low-k materials using dual damascene processes
US20070254476A1 (en) * 2006-04-28 2007-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning porous low-k material in the formation of an interconnect structure
JP5161503B2 (ja) * 2007-07-09 2013-03-13 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
WO2009064336A1 (en) * 2007-11-16 2009-05-22 Ekc Technology, Inc. Compositions for removal of metal hard mask etching residues from a semiconductor substrate
US8282842B2 (en) * 2007-11-29 2012-10-09 United Microelectronics Corp. Cleaning method following opening etch
US8741552B2 (en) * 2009-02-11 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
US20090191711A1 (en) * 2008-01-30 2009-07-30 Ying Rui Hardmask open process with enhanced cd space shrink and reduction
US7704886B2 (en) * 2008-02-14 2010-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step Cu seed layer formation for improving sidewall coverage
US8062971B2 (en) * 2008-03-19 2011-11-22 Infineon Technologies Ag Dual damascene process
US20090314743A1 (en) * 2008-06-20 2009-12-24 Hong Ma Method of etching a dielectric layer
JP2010041028A (ja) * 2008-07-11 2010-02-18 Tokyo Electron Ltd 基板処理方法
DE102008063430B4 (de) * 2008-12-31 2016-11-24 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
US7855142B2 (en) * 2009-01-09 2010-12-21 Samsung Electronics Co., Ltd. Methods of forming dual-damascene metal interconnect structures using multi-layer hard masks
DE102009006798B4 (de) * 2009-01-30 2017-06-29 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
JP5180121B2 (ja) * 2009-02-20 2013-04-10 東京エレクトロン株式会社 基板処理方法
DE102009023377B4 (de) * 2009-05-29 2017-12-28 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
US20100317195A1 (en) * 2009-06-10 2010-12-16 Chih-Wen Feng Method for fabricating an aperture
US8361900B2 (en) * 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US9330974B2 (en) * 2010-10-27 2016-05-03 Infineon Technologies Ag Through level vias and methods of formation thereof
DE102010063775B4 (de) * 2010-12-21 2019-11-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktbalken und Metallleitungen mit vergrößerten Aufnahmegebieten für Kontaktdurchführungen
US9070639B2 (en) * 2011-03-23 2015-06-30 Globalfoundries Inc. Shrinkage of critical dimensions in a semiconductor device by selective growth of a mask material
US8592321B2 (en) * 2011-06-08 2013-11-26 United Microelectronics Corp. Method for fabricating an aperture
KR101898316B1 (ko) * 2012-05-02 2018-09-13 램 리써치 코포레이션 금속 하드마스크 올 인 원 통합된 에칭
US20130302989A1 (en) * 2012-05-08 2013-11-14 Globalfoundries Inc. Reducing line edge roughness in hardmask integration schemes
US9245841B2 (en) * 2012-07-19 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating process for the same
US8772934B2 (en) * 2012-08-28 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Aluminum interconnection apparatus
CN103681497B (zh) * 2012-09-04 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制备方法
US8927413B2 (en) * 2012-11-12 2015-01-06 Taiwan Semiconductor Manufacturing, Ltd. Semiconductor structure and semiconductor fabricating process for the same
US9041216B2 (en) * 2013-03-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US8883648B1 (en) * 2013-09-09 2014-11-11 United Microelectronics Corp. Manufacturing method of semiconductor structure
US20150091172A1 (en) * 2013-10-01 2015-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Pore sealing techniques for porous low-k dielectric interconnect
US9202749B2 (en) * 2014-02-06 2015-12-01 International Business Machines Corporation Process methods for advanced interconnect patterning
US9779987B2 (en) * 2014-06-25 2017-10-03 Globalfoundries Inc. Titanium silicide formation in a narrow source-drain contact

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI729821B (zh) * 2020-01-07 2021-06-01 台灣積體電路製造股份有限公司 製造互連結構的方法
CN113284875A (zh) * 2020-02-19 2021-08-20 南亚科技股份有限公司 半导体元件及其制备方法
TWI749997B (zh) * 2020-02-19 2021-12-11 南亞科技股份有限公司 具有複合鈍化結構的半導體元件及其製備方法
US11302629B2 (en) 2020-02-19 2022-04-12 Nanya Technology Corporation Semiconductor device with composite passivation structure and method for preparing the same
CN113284875B (zh) * 2020-02-19 2024-03-29 南亚科技股份有限公司 半导体元件及其制备方法

Also Published As

Publication number Publication date
US20170243829A1 (en) 2017-08-24
US9679850B2 (en) 2017-06-13
TWI610343B (zh) 2018-01-01
US10867921B2 (en) 2020-12-15
US20190287914A1 (en) 2019-09-19
US10304774B2 (en) 2019-05-28
US20170125353A1 (en) 2017-05-04
CN107017225A (zh) 2017-08-04
CN107017225B (zh) 2020-11-20

Similar Documents

Publication Publication Date Title
TWI610343B (zh) 具有楔形鑲嵌孔洞之半導體結構及其製造方法
US8791013B2 (en) Pattern forming method
US7541276B2 (en) Methods for forming dual damascene wiring for semiconductor devices using protective via capping layer
US7511349B2 (en) Contact or via hole structure with enlarged bottom critical dimension
US11011421B2 (en) Semiconductor device having voids and method of forming same
US8872342B2 (en) Barrier layer for copper interconnect
US7348672B2 (en) Interconnects with improved reliability
US7470616B1 (en) Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US20100314765A1 (en) Interconnection structure of semiconductor integrated circuit and method for making the same
US7670946B2 (en) Methods to eliminate contact plug sidewall slit
US8722533B2 (en) Semiconductor device and manufacturing method thereof
US10832946B1 (en) Recessed interconnet line having a low-oxygen cap for facilitating a robust planarization process and protecting the interconnect line from downstream etch operations
US8673765B2 (en) Method and apparatus for back end of line semiconductor device processing
US10453794B2 (en) Interconnect structure for semiconductor devices
TW202236520A (zh) 半導體裝置的形成方法
CN108573912B (zh) 半导体结构及其形成方法
US6403471B1 (en) Method of forming a dual damascene structure including smoothing the top part of a via
US8742587B1 (en) Metal interconnection structure
TW201814869A (zh) 內連線結構的製造方法
US20230178379A1 (en) Film deposition for patterning process
TWI509740B (zh) 雙鑲嵌製程
KR100955838B1 (ko) 반도체 소자 및 그 배선 제조 방법
KR100784105B1 (ko) 반도체 소자의 제조 방법
KR20060110629A (ko) 반도체 소자의 금속배선 형성방법