DE102008016425A1 - Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials - Google Patents

Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials Download PDF

Info

Publication number
DE102008016425A1
DE102008016425A1 DE102008016425A DE102008016425A DE102008016425A1 DE 102008016425 A1 DE102008016425 A1 DE 102008016425A1 DE 102008016425 A DE102008016425 A DE 102008016425A DE 102008016425 A DE102008016425 A DE 102008016425A DE 102008016425 A1 DE102008016425 A1 DE 102008016425A1
Authority
DE
Germany
Prior art keywords
layer
forming
opening
dielectric material
hardmask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102008016425A
Other languages
English (en)
Other versions
DE102008016425B4 (de
Inventor
Frank Feustel
Thomas Werner
Jürgen Boemmels
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
AMD Fab 36 LLC and Co KG
Advanced Micro Devices Inc
AMD Fab 36 LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AMD Fab 36 LLC and Co KG, Advanced Micro Devices Inc, AMD Fab 36 LLC filed Critical AMD Fab 36 LLC and Co KG
Priority to DE102008016425.9A priority Critical patent/DE102008016425B4/de
Priority to US12/354,884 priority patent/US8048811B2/en
Publication of DE102008016425A1 publication Critical patent/DE102008016425A1/de
Application granted granted Critical
Publication of DE102008016425B4 publication Critical patent/DE102008016425B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Abstract

Durch Bilden einer Hartmaskenschicht in Kombination mit einer oder mehreren Deckschichten kann eine unerwünschte Einwirkung von Lackabtragungsätzatmosphären auf empfindliche dielektrische Materialien verringert werden und es kann auch die Integrität der Hartmaske beibehalten werden, so dass der Grabenätzprozess mit einem hohem Maß an Ätzselektivität während der Strukturierung von Öffnungen in einer Metallisierungsschicht eines Halbleiterbauelements ausgeführt werden kann.

Description

  • Gebiet der vorliegenden Offenbarung
  • Im Allgemeinen betrifft die vorliegende Offenbarung die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten mit geringer Permittivität unter Anwendung von dielektrischen Materialien mit kleinem ε.
  • Beschreibung des Stands der Technik
  • In einer integrierten Schaltung werden eine sehr große Anzahl an Schaltungselementen, etwa Transistoren, Kondensatoren, Widerstände und dergleichen in und auf einem geeigneten Substrat hergestellt, wobei dies für gewöhnlich in einer im Wesentlichen Konfiguration erfolgt. Auf Grund der großen Anzahl an Schaltungselementen und der erforderlichen komplexen Schaltungsanordnung moderner integrierter Schaltungen werden die elektrischen Verbindungen der einzelnen Schaltungselemente im Allgemeinen nicht innerhalb der gleichen Ebene hergestellt, in der die Schaltungselemente aufgebaut sind. Typischerweise werden derartige elektrische Verbindungen in einer oder mehreren zusätzlichen „Verdrahtungsschichten” ausgebildet, die auch als Metallisierungsschichten bezeichnet werden. Diese Metallisierungsschichten enthalten im Allgemeinen metallenthaltende Leitungen, die die schichtinternen elektrischen Verbindungen bilden, und weisen eine Vielzahl von Zwischenebenenverbindungen auf, die auch als Kontaktdurchführungen bezeichnet werden, die die elektrische Verbindung zwischen zwei benachbarten gestapelten Metallisierungsschichten bereitstellen, wobei die metallenthaltenden Leitungen und Kontaktdurchführungen gemeinsam als Verbindungsstruktur bezeichnet werden.
  • Auf Grund der zunehmenden Anforderungen im Hinblick auf die Reduzierung der Strukturgrößen modernster Halbleiterbauelemente werden gut leitende Metalle, etwa Kupfer und Legierungen davon, in Verbindung mit einem dielektrischen Material mit kleinem ε häufig bei der Herstellung von Metallisierungsschichten eingesetzt. Typischerweise ist eine Vielzahl von Metallisierungsschichten, die aufeinander gestapelt sind, erforderlich, um die Verbindungen zwischen allen internen Schaltungselementen und I/O-(Eingangs/Ausgangs-)Leistungs- und Massenanschlussflächen der betrachteten Schaltung zu verwirklichen. Für integrierte Schaltungen mit äußerst reduzierten Abmessungen ist die Signalausbreitungsverzögerung und damit die Arbeitsgeschwindigkeit der integrierten Schaltung nicht mehr durch die Feldeffekttransistoren beschränkt, sondern ist auf Grund der erhöhten Dichte der Schaltungselemente, die eine noch größere Anzahl an elektrischen Verbindungen erfordern, durch die unmittelbare Nachbarschaft der Metallleitungen beschränkt, da die Kapazität zwischen den Leitungen erhöht ist, wozu sich noch die Tatsache gesellt, dass die Metallleitungen eine geringere Leitfähigkeit auf Grund der reduzierten Querschnittsfläche besitzen. Aus diesem Grund werden übliche Dielektrika, etwa Siliziumdioxid (ε > 3,6) und Siliziumnitrid (ε > 5) durch dielektrische Materialien mit einer geringeren dielektrischen Konstante ε ersetzt, die daher als dielektrische Materialien mit kleinem ε mit einer relativen Permittivität von 3,0 oder weniger bezeichnet werden. Die geringere Permittivität dieser Materialien mit kleinem ε wird häufig erreicht, indem das dielektrische Material in einem porösen Zustand vorgesehen wird, wodurch ein ε-Wert von deutlich kleiner als 3,0 erreicht wird. Auf Grund der inneren Eigenschaften, etwa dem hohen Grad an Porösität, des dielektrischen Materials ist jedoch dessen Dichte und mechanische Stabilität oder Festigkeit deutlich kleiner im Vergleich zu gut erprobten Dielektrika, etwa Siliziumdioxid und Siliziumnitrid.
  • Während der Herstellung von kupferbasierten Metallisierungsschichten wird für gewöhnlich die sogenannte Damaszener- oder Einlegetechnik angewendet auf Grund der Eigenschaften des Kupfers, im Wesentlichen keine flüchtigen Ätzprodukte zu bilden, wenn es der Einwirkung gut etablierter anisotroper Ätzumgebungen ausgesetzt wird. Des weiteren kann Kupfer auch nicht mit hohen Abscheideraten auf der Grundlage gut etablierter Abscheidetechniken aufgebracht werden, wie sie für gewöhnlich für Aluminium eingesetzt werden, etwa in Form von CVD (chemische Dampfabscheidung). Somit wird in der Einlegetechnik das dielektrische Material strukturiert, um Gräben und/oder Kontaktöffnungen zu erhalten, die nachfolgend mit dem Metallmittel effizienter elektrochemischer Abscheidetechniken gefüllt werden. Des weiteren ist eine Barrierenschicht für gewöhnlich an freiliegenden Oberflächenbereichen des dielektrischen Materials vor dem Einfüllen des Metalls vorzusehen, das für die gewünschte Haftung des Metalls zu dem umgebenden dielektrischen Material sorgt und auch eine Kupferdiffusion in empfindliche Bauteilbereiche unterdrückt, da Kupfer in einer Vielzahl dielektrischer Materialien und insbesondere in porösen dielektrischen Materialien mit kleinem ε gut diffundiert.
  • In einigen konventionellen Vorgehensweisen wird der Prozess des Einfüllens eines leitenden Metalls, etwa von Kupfer, in einer sogenannten dualen Damaszenerstrategie bewerkstelligt, in der die Kontaktlochöffnung, die eine Verbindung zu einem darunter liegenden Metallgebiet herstellt, und ein entsprechender Graben in einem gemeinsamen Abscheideprozess gefüllt werden, wodurch die Gesamtprozesseffizienz erhöht wird. Aus diesem Grunde werden die Kontaktlochöffnung und der Graben in dem dielektrischem Material der betrachteten Metallisierungsschicht erzeugt und nachfolgend wird das Barrierenmaterial und das Kupfermaterial eingefüllt, wobei jeder dieser Prozesse gemeinsam für die Kontaktlochöffnung und den Graben ausgeführt wird. Nach dem Abscheiden eines geeigneten dielektrischen Materials, beispielsweise eines dielektrischen Materials mit kleinem ε, wird zwar eine Strukturierungssequenz ausgeführt, wobei in einigen anschaulichen Vorgehensweisen die Kontaktlochöffnung zuerst gebildet wird, zumindest teilweise, woran sich das Strukturieren eines Grabens in dem oberen Bereich des dielektrischen Materials anschließt. Folglich muss in dieser Vorgehensweise die Strukturierung der Gräben auf der Grundlage einer Oberflächentopographie bewerkstelligt werden, die die zuvor gebildeten Kontaktlochöffnungen oder Bereiche davon aufweist, was bewerkstelligt werden, indem die Oberflächentopographie vor der lithographischen Strukturierung einer Ätzmaske für den Grabenätzprozess eingeebnet wird. Während der gesamten Strukturierungssequenz müssen somit Lackmasken, möglicherweise in Verbindung mit geeigneten Einebnungsmaterialien, die häufig in Form organischer Materialien vorgesehen werden, abgeschieden werden und über dem dielektrischen Material gebildet werden, woraufhin sich ein Lackabtragungsprozess anschließt, der zunehmend das empfindliche dielektrische Material schädigt, insbesondere wenn Halbleiterbauelemente mit extrem kleinen Abmessungen betrachtet werden, die eine sehr kleine dielektrische Konstante erfordern, was beispielsweise auf Grundlage poröser dielektrischer Materialien erfolgt, wie dies nachfolgend mit Bezug zu den 1a bis 1d detaillierter erläutert ist.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 in einem fortgeschrittenen Fertigungsstadium, im welchem eine oder mehrere Metallisierungsschichten über einer Bauteilebene des Halbleiterbauelements 100 herzustellen sind. In der gezeigten Fertigungsphase umfasst das Halbleiterbauelement 100 ein Substrat 101, das der Einfachheit halber als ein beliebiges geeignetes Trägermaterial betrachtet wird, auf dem eine oder mehrere Materialschichten zur Aufnahme von Halbleiterschaltungselement gebildet sind, etwa von Transistoren, Kondensatoren, Widerständen und dergleichen, die der Einfachheit halber in 1a nicht gezeigt sind. Beispielsweise repräsentiert das Substrat 101 ein Halbleitermaterial, beispielsweise ein Siliziummaterial in Verbindung mit einer geeigneten Halbleiterschicht, etwa einer siliziumbasierten Schicht, in und über der Transistorelementen hergestellt sind. In anderen Fallen ist eine vergrabene isolierende Schicht (nicht gezeigt) zwischen dem Substratmaterial und der entsprechenden Halbleiterschicht vorgesehen, wodurch eine SOI-(Halbleiter-auf-Isolator)Konfiguratin geschaffen wird. Wie zuvor erläutert ist, besitzen die Schaltungselemente in der Bauteilebene des Halbleiterbauelements 100 ggf. kritische Abmessungen von ungefähr 40 nm und weniger, wobei dies von den betrachteten Technologiestand abhängt.
  • Über dem Substrat 101 mit den Halbleiterschaltungselementen ist ein Metallisierungssystem vorgesehen, das durch eine erste Metallisierungsschicht 110 repräsentiert ist, die ein dielektrisches Material 111 aufweist, das in Form eines konventionellen dielektrischen Materials, etwa Siliziumdioxid, Siliziumnitrid, Siliziumoxinitrid, und dergleichen vorgesehen sein kann, wobei dies von den gesamten Bauteil- und Prozesserfordernissen abhängt. In anspruchsvollen Anwendungen umfasst das dielektrische Material 111 ein dielektrisches Material mit kleinem ε, um die parasitäre Gesamtkapazität zwischen benachbarten Metallgebieten zu verringern. Des weiteren besitzt die Metallisierungsschicht 110 ein Metallgebiet, beispielsweise in Form einer Metallleitung 112, die ein gut leitendes Metall, etwa Kupfer, in Verbindung mit einem Barrierenmaterial 112a aufweist, das wiederum zwei oder mehr Schichten aufweisen kann, etwa Tantal, Tantalnitrid, und dergleichen, um damit die gewünschte Barrierenwirkung und Haftwirkung zu erreichen. Z. B. wird Tantalnitrid zur besseren Haftung des Kupfers an dem umgebenden dielektrischen Material vorgesehen, während Tantal für eine effiziente Kupferdiffusionsblockierwirkung sorgt, wobei zusätzlich dem Kupfermaterial in dem Metallgebiet 112 eine verbesserte mechanische Stabilität verliehen wird. Des weiteren enthält die Metallisierungsschicht 110 eine Ätzstoppschicht 113, die aus Siliziumnitrid, Siliziumkarbid, stickstoffenthaltendem Siliziumkarbid und dergleichen aufgebaut ist, wobei die Ätzstoppschicht 113 nicht nur als Ätzstoppmaterial während der weiteren Bearbeitung des Bauelements 100 wirkt, sondern auch eine obere Fläche der Metallleitung 112 beispielsweise im Hinblick auf eine unerwünschte Kupferdiffusion und eine Wechselwirkung mit reaktiven Komponenten, etwa mit Sauerstoff, Fluor in der Metallleitung 112 einschließt.
  • Eine weitere Metallisierungsschicht 120 ist in einer Anfangsphase vorgesehen, d. h., ein dielektrisches Material 121 ist über der Metallisierungsschicht 110 ausgebildet und weist ein geeignetes Material und eine gewünschte Dicke auf, um damit Kontaktlochöffnungen und einen Graben gemäß den Gestaltungsregeln in einer nachfolgenden Fertigungsphase zu erhalten. Beispielsweise enthält in anspruchsvollen Anwendungen das dielektrische Material 121 ein dielektrisches Material mit kleinem ε mit einer reduzierten Dichte, beispielsweise unter Erzeugung einer porösen Struktur, um damit moderat geringe Werte für die Dielektrizitätskonstante zu erhalten. Somit zeigt das dielektrische Material 121 unter Umständen eine geringere mechanische Stabilität und kann ebenfalls empfindlich sein für eine Vielzahl von Ätzchemien, die häufig während der weiteren Bearbeitung des Bauelements eingesetzt werden. Aus diesem Grunde wird typischerweise eine Deckschicht 122 in dem Versuch vorgesehen, die Gesamtwiderstandsfähigkeit des dielektrischen Materials 121 im Hinblick auf die weitere Bearbeitung zu erhöhen. Beispielswiese ist die Deckschicht 122 aus einem beliebigen geeigneten Material aufgebaut, beispielsweise Siliziumdioxid und dergleichen, oder die Deckschicht 122 repräsentiert eine Oberflächenbereich des dielektrischen Materials 121, der eine geeignete Behandlung erfahren hat, etwa einen Oxidationsprozess und dergleichen. Ferner ist in der gezeigten Fertigungsphase eine Ätzmaske 130 über der Deckschicht 122 gebildet und ist aus einem oder mehreren Lackmaterialien aufgebaut, möglicherweise in Verbindung mit anderen organischen Materialien oder ARC-(antireflektierenden Beschichtungs-)Materialien gemäß gut etablierter Techniken, um eine lithographische Strukturierung der Ätzmaske 130 zu ermöglichen.
  • Typischerweise wird das in 1a gezeigte Bauelemente 100 auf der Grundlage gut etablierter Prozesstechniken hergestellt. Beispielsweise werden die Schaltungselemente (nicht gezeigt) unter Anwendung anspruchsvoller Prozesstechniken entsprechend den Entwurfsregeln gebildet, um damit die Strukturgröße nach Erfordernis zu erhalten. Nach dem Bilden einer geeigneten Kontaktstruktur (nicht gezeigt), d. h. einem dielektrischen Zwischenschichtmaterial mit einer eingeebneten Oberflächentopographie zum Einschließen und Passivieren der Schaltungselemente einschließlich der geeigneten leitenden Elemente, die zu Kontaktbereichen der Schaltungselemente eine Verbindung herstellen, werden die eine oder die mehreren Metallisierungsschichten 110, 120 gebildet. Zu diesem Zweck wird das dielektrische Material 111 abgeschieden und nachfolgend strukturiert, um Kontaktöffnungen und/oder Gräben zu erhalten, woran sich das Abscheiden des Barrierenmaterials 112a anschließt, was durch Sputter-Abscheidung, CVD (chemische Dampfabscheidung) und der gleichen bewerkstelligt werden kann. Es sollte beachtet werden, dass die Metallisierungsschicht 110 durch ähnliche Prozesstechniken hergestellt werden kann, wie sie mit Bezug zu der Metallisierungsschicht 120 beschrieben sind, wobei dies von der Gesamtprozess- und Bauteilbeschaffenheit abhängt. Danach wird das Metall, etwa Kupfer, etwa durch Elektroplattieren eingefügt, wobei vor dem elektrochemischen Abscheideprozess ein leitende Saatschicht, etwa Kupfer und dergleichen, durch geeignete Abscheidetechniken, etwa Sputter-Abscheidung, stromloses Plattieren und dergleichen gebildet wird. Nach dem Einfüllen des Kupfermaterials wird überschüssiges Material davon entfernt, etwa durch elektrochemisches Ätzen, CMP (chemisch-mechanisches Polieren), und dergleichen. Als nächstes wird die Ätzstoppschicht 113 gebildet, indem ein oder mehrere Materialien auf der Grundlage gut etablierter CVD-Techniken abgeschieden werden. Daraufhin wird das dielektrische Material 121 durch eine geeignete Abscheidetechnik gebildet, etwa CVD, Aufschleuderprozesse und dergleichen. Danach wird die Deckschicht 122 hergestellt, beispielsweise durch Oxidieren eines Oberflächenbereichs des dielektrischen Materials 121, wenn das Material 121 eine geeignete Materialzusammensetzung aufweist, oder durch Abscheiden einer geeigneten dünnen Materialschicht, um nicht in unerwünschter Weise die Gesamtpermittivität des dielektrischen Materials 121 zu beeinflussen. Schließlich wird die Ätzmaske 130 gebildet, indem ein Lackmaterial abgeschieden wird, möglicherweise in Verbindung mit geeigneten ARC-Materialien und dergleichen, und indem Lithographieprozess ausgeführt wird, um eine Öffnung 130a zu bilden, die im Wesentlichen einer Kontaktlochöffnung entspricht, die in dem dielektrischen Material 121 zu bilden ist. Auf der Grundlage der Ätzmaske 130 wird ein Ätzprozess 131 ausgeführt, um durch das Material 121 zu ätzen, wobei der entsprechende Ätzprozess auf und in der Ätzstoppschicht 113 angehalten wird. Nachfolgend wird die Ätzmaske 130 entfernt, beispielsweise durch Lackabtragungsprozesse mit Prozessrezepten auf der Basis von Sauerstoffplasma, möglicherweise in Verbindung mit reaktiven Komponenten, etwa Fluor, das in der Ätzkammer auf Grund der zuvor ausgeführten Ätzschritte vorhanden sein kann, woraus sich ein mehr oder weniger ausgeprägter Materialabtrag der Deckschicht 122 und möglicherweise des empfindlichen dielektrischen Materials 121 ergibt.
  • 1b zeigt schematisch das Halbleiterbauelement 100 nach der oben beschriebenen Prozesssequenz. Somit zeigt die Deckschicht 122 und möglicherweise ein Oberflächenbereich des dielektrischen Materials 121 ein gewisses Maß an Ätzschädigung 122a, die durch die vorhergehenden Ätzprozesse zum Entfernen der Ätzmaske 130 hervorgerufen wird.
  • 1c zeigt schematisch das Halbleiterbauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem ein Einebnungsmaterial 132, beispielsweise in Form eines organischen Materials, in der Kontaktlochöffnung 121a und über dem dielektrischen Material 121 und der Deckschicht 122 gebildet ist. Wie zuvor erläutert ist, sorgt das Einebnungsmaterial 132 für eine im Wesentlichen eingeebnete Oberflächentopographie und dient auch als ein ARC-Material während des Lithographieprozesses zur Strukturierung einer weiteren Ätzmaske 133, die in Form eines Lackmaterials und dergleichen vorgesehen ist. Die Einebnungsschicht 132 wird gebildet, indem ein geeignetes Material in einem Zustand geringer Viskosität durch Aufschleuderverfahren aufgebracht und nachfolgend das Material 132 gehärtet wird. Anschließend wird ein geeignetes Lackmaterial abgeschieden und strukturiert, um eine Grabenöffnung 133a zu bilden, die als eine Ätzmaske während eines Ätzprozesses 134 verwendet wird. Während des Ätzprozesses 134 wird die Einebnungsschicht 132 zuerst strukturiert und nachfolgend wird Material der Schicht 121 entfernt, um damit einen Graben in dieser Schicht zu bilden. Anschließend werden die Maske 133 und das Einebnungsmaterial 132 entfernt, beispielsweise durch gut etablierte Plasmaabtragungsrezepte, während welchem jedoch das dielektrische Material 121 und die Deckschicht 122 ebenfalls der Einwirkung der entsprechenden reaktiven Umgebung ausgesetzt werden.
  • 1d zeigt schematisch das Halbleiterbauelement 100 nach der oben beschriebenen Prozesssequenz, wobei ein Graben 121t in einem oberen Bereich des dielektrischen Materials 121 gebildet ist, das jedoch deutliche Schäden 121d an einer Oberfläche davon auf Grund der vorhergehenden plasmabasierten Prozesse zum Entfernen der Ätzmaske 133 und der Einebnungsschicht 132 aufweist. Folglich können insbesondere in Halbleiterbauelementen mit extrem kleinen Abmessungen deutlich Oberflächenunregelmäßigkeiten in den dielektrischen Materialien jeweiliger Metallisierungsschichten hervorgerufen werden, wodurch ungleichmäßige Prozessbedingungen während der weiteren Bearbeitung der Bauelemente, etwa im Hinblick auf die nachfolgenden Abscheidetechniken zur Herstellung eines Barrierenmaterials und eines gut leitenden Metalls in Verbindung mit darauffolgenden Einebnungsprozessen hervorgerufen werden, wobei der sehr unregelmäßige Oberflächenzustand des dielektrischen Materials 121 einen negativen Einfluss auf die schließlich erreichten Bauteileigenschaften ausüben kann.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Offenbarung Techniken zum Strukturieren eines dielektrischen Materials, wobei die Auswirkungen eines oder mehrerer der oben erkannten Probleme vermieden oder zumindest verringert werden.
  • Überblick über die Offenbarung
  • Im Allgemeinen betrifft der hierin offenbarte Gegenstand eine Technik zur Herstellung von Metallisierungssystemen gemäß einem Strukturierungsschema, in welchem die Einwirkung der reaktiven Ätzumgebungen, etwa von sauerstoffbasierten Plasmaabtragungsprozessen, auf empfindliche dielektrische Materialien deutlich verringert werden kann, um eine verbesserte Oberflächenintegrität des dielektrischen Materials zu schaffen. Zu diesem Zweck wird eine Hartmaskenschicht über dem dielektrischen Material gebildet und wird zur Herstellung eines Grabens in dem dielektrischen Material verwendet, wobei die strukturierende Hartmaskenschicht so bewerkstelligt wird, dass ein unerwünschtes Einwirken einer plasmabasierten reaktiven Ätzumgebung auf das Hartmaskenmaterial ebenfalls verringert wird. Folglich kann die Integrität der Hartmaske bis zu einem Zeitpunkt beibehalten werden, in welchem der entsprechende Graben in einem oberen Bereich des dielektrischen Materials zu strukturieren ist. Während der Strukturierung einer Kontaktlochöffnung und während der Ausbildung des Grabens kann folglich die Hartmaskenschicht das empfindliche dielektrische Material einschließen, woraus sich insgesamt eine verbesserte Oberflächenintegrität des dielektrischen Materials ergibt. In einigen anschaulichen Aspekten wird ein direkter Kontakt des dielektrischen Materials mit einem Lackmaterial unterdrückt, indem eine geeignete Deckschicht vor dem Bilden der Grabenöffnung in dem dielektrischen Material vorgesehen wird.
  • Ein anschauliches hierin offenbartes Verfahren umfasst das Bilden eines Stapels aus Schichten auf einer dielektrischen Schicht mit kleinem ε, die über einem Substrat eines Halbleiterbauelements ausgebildet ist, wobei der Schichtstapel eine Hartmaskenschicht aufweist, die über der dielektrischen Schicht mit kleinem ε ausgebildet ist und wobei ferner eine erste Deckschicht vorgesehen ist, die auf der Hartmaskenschicht gebildet ist. Das Verfahren umfasst ferner das Bilden einer ersten Öffnung in dem Schichtstapel und das Bilden einer zweiten Öffnung in der ersten Deckschicht unter Anwendung der Hartmaskenschicht als Ätzstoppmaterial. Des weiteren umfasst das Verfahren das Bilden eines ersten Bereichs einer Kontaktlochöffnung in der dielektrischen Schicht mit kleinem ε unter Anwendung der Hartmaske als eine erste Ätzmaske. Weiterhin wird eine Grabenmaske gebildet, indem ein Teil der Hartmaskenschicht entfernt wird, der von der zweiten Öffnung freigelegt wird, indem die erste Deckschicht als eine zweite Ätzmaske verwendet wird. Des weiteren umfasst das Verfahren das Bilden eines zweiten Bereichs der Kontaktlochöffnung und eines Grabens in der dielektrischen Schicht mit kleinem ε auf der Grundlage der Grabenätzmaske.
  • Ein weiteres anschauliches hierin offenbartes Verfahren umfasst das Bilden einer Hartmaskenschicht über einem dielektrischen Material einer Metallisierungsschicht eines Halbleiterbauelements, wobei die Hartmaskenschicht eine erste Materialschicht aufweist, die über dem dielektrischen Material gebildet ist, und eine zweite Materialschicht aufweist, die auf der ersten Materialschicht ausgebildet ist. Das Verfahren umfasst ferner das Bilden einer ersten Öffnung in der Hartmaskenschicht, die einer Kontaktlochöffnung entspricht, die in dem dielektrischen Material zu bilden ist. Ferner umfasst das Verfahren das Bilden der Kontaktlochöffnung in den dielektrischen Material unter Anwendung zumindest der ersten Materialschicht der Hartmaske als eine Ätzmaske, und Bilden einer Deckschicht über der Hartmaskenschicht und in der ersten Öffnung. ferner wird eine zweite Öffnung in der Hartmaskenschicht gebildet, die einem in dem dielektrischen Material zu bildenden Graben entspricht. Des weiteren umfasst das Verfahren das Bilden des Grabens in dem dielektrischen Material unter Anwendung zumindest der ersten Materialschicht als eine Ätzmaske.
  • Ein weiteres anschauliches hierin offenbartes Verfahren betrifft das Strukturieren eines dielektrischen Materials einer Metallisierungsschicht eines Halbleiterbauelements. Das Verfahren umfasst das Bilden einer ersten Hartmaskenschicht über dem dielektrischen Material und das Bilden einer zweiten Hartmaskenschicht auf der ersten Hartmaskenschicht. Des weiteren wird eine erste Öffnung in der ersten und der zweiten Hartmaskenschicht gebildet, wobei die erste Öffnung einer Kontaktlochöffnung entspricht, die in dem dielektrischen Material herzustellen ist. Ferner umfasst das Verfahren das Bilden einer zweiten Öffnung in der zweiten Hartmaskenschicht und das Bilden eines ersten Bereichs der Kontaktlochöffnung in dem dielektrischen Material, wobei die zweite Öffnung einem in dem dielektrischen Material zu bildenden Graben entspricht. Ferner wird eine zweite Öffnung in der ersten Hartmaskenschicht gebildet und der Graben und ein zweiter Bereich der Kontaktlochöffnung werden unter Anwendung der ersten Hartmaskenschicht als eine Ätzmaske hergestellt.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der vorliegenden Offenbarung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a bis 1d schematisch Querschnittsansichten eines konventionellen Halbleiterbauelements während diverser Fertigungsphasen zum Strukturieren des dielektrischen Materials einer Metallisierungsschicht gemäß konventioneller Strategien zeigen;
  • 2a bis 2k schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung einer Metallisierungsschicht mit einer deutlich geringeren Einwirkung einer reaktiven Ätzumgebung auf empfindliche dielektrische Materialien auf der Grundlage eines gestalteten Hartmaskenschichtstapels gemäß anschaulicher Ausführungsformen zeigen;
  • 2l schematisch das Halbleiterbauelement in einer fortgeschrittenen Fertigungsphase zeigt, wobei das Hartmaskenmaterial gemäß weiterer anschaulicher Ausführungsformen entfernt wird;
  • 2m und 2n schematisch Querschnittsansichten eines Halbleiterbauelements gemäß weiterer anschaulicher Ausführungsformen zeigen, in denen in der zusätzlichen Deckschicht in dem Hartmaskenschichtstapel vorgesehen wird, die als eine Steuerschicht während des Entfernens eines oberen Bereichs des Hartmaskenschichtstapels verwendet wird; und
  • 2o und 2p schematisch Querschnittsansichten des Halbleiterbauelements gemäß noch weiterer anschaulicher Ausführungsformen zeigen, in denen eine Kontaktlochöffnung zuerst auf der Grundlage einer Hartmaske hergestellt wird, wobei eine unerwünschte Einwirkung auf eine freigelegte Oberfläche der Kontaktlochöffnung durch Vorsehen einer Deckschicht verringert wird.
  • Detaillierte Beschreibung
  • Obwohl die vorliegende Offenbarung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte beachtet werden, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Offenbarung auf die speziellen anschaulichen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Offenbarung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Die vorliegende Offenbarung betrifft Techniken zur Herstellung von Metallisierungsschichten von modernen Halbleiterbauelementen, die typischerweise empfindliche dielektrische Materialien enthalten, etwa Dielektrika mit kleinem ε, poröse Dielektrika mit kleinem ε und dergleichen, um damit das Gesamtleistungsverhalten der Halbleiterbauelemente zu verbessern. Die vorliegende Offenbarung führt zu einer deutlichen Verringerung des Ausmaßes an Einwirkung auf empfindliche dielektrische Materialien durch reaktive Ätzatmosphären, deren Anwendung während eines Strukturierungsschemas zur Herstellung von Kontaktlochöffnungen und Gräben in den dielektrischen Materialien erforderlich ist, um damit einen gemeinsamen Füllprozess einer insgesamt hohen Prozesseffizienz zu ermöglichen. Zu diesem Zweck wird ein geeignet gestalteter Stapel aus Materialschichten, die als Hartmaskenschichten oder Deckschichten bezeichnet werden, so vorgesehen, dass die Kontaktlochöffnung auf der Grundlage dieser Schichten strukturiert werden kann, während der Graben auf der Grundlage dieser Schichten strukturiert werden kann, während der Graben auf der Grundlage kleiner oder mehrerer Schichten in einem Zustand strukturiert wird, in welchem eine unerwünschte Einwirkung einer reaktiven Ätzatmosphäre im Wesentlichen vermieden wird, um damit eine im Wesentlichen intakte Ätzmaske während des Grabenstrukturierungsprozesses bereitzustellen, wodurch eine unerwünschte Freilegung des darunter liegenden dielektrischen Materials im Hinblick auf reaktive Ätzumgebungen vermieden wird, wie dies ansonsten typischerweise in konventionellen Strukturierungsschemata der Fall ist. In einigen anschaulichen Ausführungsformen werden eine oder mehrere der Materialschichten in dem Schichtstapel während der weiteren Bearbeitung des Bauelements beibehalten, wodurch eine erhöhte Stabilität dem dielektrischen Material, beispielsweise während des Abscheidens geeigneter Barrierenmaterialien, dem Einfüllen von gut leitenden Metallen, etwa Kupfer, und dem nachfolgenden Entfernen von überschüssigem Material, verliehen werden. In einigen anschaulichen Ausführungsformen wird das Hartmaskenmaterial zumindest teilweise während oder nach dem Entfernen von überschüssi gem Material, beispielsweise durch CMP, abgetragen, wodurch ebenfalls eine Einwirkung einer reaktiven Ätzumgebung auf empfindliche dielektrische Materialien vermieden wird. In diesem Falle kann ein unerwünschter Einfluss des Hartmaskenmaterials im Hinblick auf die Bauteileigenschaften, etwa die Gesamtpermittivität des dielektrischen Materials, und dergleichen verringert werden. Ferner können gut etablierte Materialien für die Hartmaske verwendet werden, wobei in einigen Fallen eine oder mehrere der Materialschichten des Stapels zur Herstellung der Hartmaske ein Metall, etwa Tantal, Titan, beispielsweise in Form von Tantalnitrid, Titannitrid, und dergleichen aufweist, während auch andere gut etablierte Materialien, etwa Siliziumdioxid, Kohlenstoff und dergleichen eingesetzt werden können. Daher wird ein hohes Maß an Kompatibilität mit dem konventionellen Gesamtprozessablauf erreicht, ohne dass zusätzliche Ressourcen im Hinblick auf Materialien und Prozessanlagen erforderlich sind, während gleichzeitig eine erhöhte Integrität empfindlicher dielektrischer Materialien erreicht wird.
  • Mit Bezug zu den 2a bis 2p werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 mit einem Substrat 201, über welchem eine oder mehrere Metallisierungsschichten 210, 220 gebildet sind. Das Substrat 201 umfasst ein oder mehrere Bauteilebenen, d. h. Ebenen in und über denen entsprechende Schaltungselemente in Form von Halbleiterschaltungselementen, etwa Transistoren, Kondensatoren, Widerstände und dergleichen ausgebildet sind, wie dies auch unter Bezugnahme zu dem Halbleiterbauelement 100 erläutert ist. In ähnlicher Weise umfasst die Metallisierungsschicht 210 ein dielektrisches Material 211, in welchem ein Kontaktgebiet oder Metallgebiet 212 eingebettet ist, das ein geeignetes leitendes Material aufweist. Das dielektrische Material 211 enthält ggf. ein dielektrisches Material mit kleinem ε, während ein Teil des Metallgebiets 212 in Form eines gut leitenden Metalls vorgesehen ist, etwa in Form von Kupfer, Silber, und dergleichen, möglicherweise in Verbindung mit geeigneten Barrierenmaterialien (nicht gezeigt). Des weiteren ist eine Ätzstoppschicht 213 auf dem dielektrischen Material 211 und dem Kontakt- oder Metallgebiet 212 gebildet. Es sollte beachtet werden, dass die Metallisierungsschicht 210 in ähnlicher Weise ausgebildet ist, wie dies auch mit Bezug zu dem Halbleiterbauelement 100 beschrieben ist.
  • In der gezeigten Fertigungsphase umfasst das Halbleiterbauelement 200 eine weitere Metallisierungsschicht 220 mit einem dielektrischen Material 221, das ein beliebiges geeignetes Material repräsentiert, um darin die Leitungen und Kontaktdurchführungen zu bilden. Es sollte beachtet werden, dass das dielektrische Material 221 eine Konfiguration aufweisen kann, wie dies mit Bezug zu dem dielektrischen Material 212 des Bauelements 100 beschrieben ist. Somit repräsentiert das Material 221 ein dielektrisches Material mit einer Dielektrizitätskonstante von 3,0 und deutlich kleiner, wobei auch ein mehr oder minder ausgeprägter Grad an Porosität abhängig von den gesamten Bauteilerfordemissen vorhanden sein kann. Des weiteren ist ein Stapel aus Materialschichten 230 über dem dielektrischen Material 221 gebildet, wobei in einer anschaulichen Ausführungsform der Stapel 230 eine erste Materialschicht 231 aufweist, die auch als eine Hartmaskenschicht oder eine erste Hartmaskenschicht bezeichnet wird, und wobei eine zweite Materialschicht 232 vorgesehen ist, die auch als Deckschicht oder als eine zweite Hartmaskenschicht bezeichnet wird. Die erste Materialschicht 231 und die zweite Materialschicht 232 werden so vorgesehen, dass diese eine ausgeprägte Ätzselektivität in Bezug auf eine geeignet gestaltete Ätzumgebung aufweisen, wie dies nachfolgend detaillierter erläutert ist. In einigen anschaulichen Ausführungsformen ist die erste Materialschicht 231 aus einer Materialzusammensetzung aufgebaut, die ein Metall enthält, etwa Tantal, Titan und dergleichen, wobei entsprechende Materialzusammensetzungen gut etablierte Materialien in konventionellen Halbleiterherstellungsprozessen repräsentieren, so dass entsprechendes Fachwissen und Ressourcen zum Abscheiden und Strukturieren derartiger Materialien verfügbar sind. Beispielsweise werden Titannitrid, Tantalnitrid und dergleichen häufig als Barrierenmaterialien während diverser Phasen des gesamten Fertigungsablaufs eingesetzt und können auch vorteilhaft als ein Hartmaskenmaterial während der Strukturierung des dielektrischen Materials 221 verwendet werden. In anderen anschaulichen Ausführungsformen werden andere Materialien eingesetzt, etwa Siliziumdioxid, Polysilizium und dergleichen. Die zweite Materialschicht 232 ist aus einem geeigneten Material aufgebaut, das eine gewünschte Ätzselektivität besitzt, wobei in einigen anschaulichen Ausführungsformen Siliziumdioxid, Kohlenstoff, Siliziumkarbid und dergleichen verwendet wird. Das erste Material 231 wird etwa in Form eines Metallnitrids vorgesehen, während die zweite Materialschicht 232 in Form von Siliziumdioxid, amorphen Kohlenstoff und dergleichen bereitgestellt wird. In anderen Fällen werden gut etablierte Materialzusammensetzungen, etwa Polysilizium und Siliziumdioxid, als die erste und die zweite Materialschicht 231, 232 vorgesehen.
  • Ferner ist in der gezeigten Fertigungsphase ein Lackmaterial 235 auf dem Stapel 230 vorgesehen und besitzt eine geeignete Zusammensetzung für einen nachfolgenden Lithographieprozess zur Strukturierung des Stapels 230. Beispielsweise enthält das Lackmaterial 235 eine oder mehrere Lackmaterialien, die für das Erreichen der gewünschten optischen Eigenschaften geeignet sind. Zu diesem Zweck wird die Dicke der Lackschicht 235 und von einer oder mehreren der Schichten des Stapels 230 so eingestellt, dass die gewünschte antireflektierende Eigenschaft während eines nachfolgenden Lithographieprozesses erreicht wird. In anderen Fällen umfasst das System 235 zusätzlich ARC-Materialien, um damit eine Rückreflektion in das Schichtsystem 235 während des Lithographieprozesses zu verringern.
  • Das in 2a gezeigte Halbleiterbauelement 200 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Das Substrat 201 und mögliche darin enthaltene Schaltungselemente sowie die erste Metallisierungsschicht 210 und das dielektrische Material 221 können auf der Grundlage ähnlicher Prozesstechniken hergestellt werden, wie sie auch zuvor mit Bezug zu dem Bauelement 100 erläutert sind. Zu beachten ist, dass abhängig von den gesamten Bauteil- und Prozesserfordernissen beim Abscheiden des dielektrischen Materials 221 eine entsprechende Deckschicht (nicht gezeigt) gebildet werden kann, um damit die gesamte mechanische Integrität der Schicht 221 weiter zu verbessern, wie dies zuvor erläutert ist. In anderen Fällen wird eine zusätzliche Deckschicht auf Grund der Anwesenheit des Schichtstapels 230 weggelassen, während in wieder anderen Fällen eine entsprechende Deckschicht in den Stapel 230 eingebaut wird, wie dies nachfolgend detaillierter erläutert ist. Nach dem Abscheiden des dielektrischen Materials 221, das eine geeignete Oberflächenschicht bei Bedarf aufweist, wird somit der Stapel 230 gebildet, indem beispielsweise zunächst die Materialschicht 231 auf der dielektrischen Schicht 221 auf der Grundlage einer beliebigen geeigneten Abscheidetechnik aufgebracht wird, wozu beispielsweise CVD-Techniken, physikalische Dampfabscheidung, in Form von Sputter-Abscheidung, elektrochemische Abscheidung und dergleichen gehören. Wie zuvor erläutert ist, sind eine Vielzahl gut etablierter Abscheiderezepte verfügbar und können zur Herstellung der Schicht 231 verwendet werden, da in einigen anschaulichen Ausführungsformen gut etablierte Materialien eingesetzt werden. Als nächstes wird die Schicht 232 durch eine beliebige geeignete Abscheidetechnik gebildet, wobei in einigen anschaulichen Ausführungsformen eine Dicke der Schicht 232 entsprechend den optischen Eigenschaften so eingestellt wird, dass ein im Wesentlichen antireflektierendes Verhalten in Verbindung mit dem System 235 erreicht wird, wie dies zuvor angegeben ist. In einigen anschaulichen Ausführungsformen wird eine im Wesentlichen stickstofffreie Materialzusammensetzung für die Schicht 232 verwendet, um damit eine unerwünschte Stickstoffdiffusion in das Lacksystem 235 zu unterdrücken, was ansonsten die photochemische Reaktion des Materials 235 ändern könnte. Als nächstes wird das Lackmaterial 235 abgeschieden und nachfolgend auf Grundlage moderner Lithographietechniken belichtet, wie dies im Stand der Technik bekannt ist. Nach dem Entwickeln des belichteten Lacksystems 235 wird eine entsprechende Ätzmaske bereitgestellt, um den Schichtstapel 230 zu strukturieren.
  • 2b zeigt schematisch das Halbleiterbauelement 200 während eines Ätzprozesses 236, der auf der Grundlage eines Ätzrezepts zum Ätzen durch den Stapel 230 ausgeführt wird, d. h. die Schichten 232 und 231. Bei Bedarf kann der Ätzprozess 236 zwei unterschiedliche Ätzschritte auf der Grundlage geeigneter Ätzchemien zum Ätzen durch die unterschiedlichen Materialien 232 und 231 aufweisen, während in anderen Fällen eine im Wesentlichen nicht-selektive Ätzchemie verwendet wird. Somit wird eine Öffnung 230a in dem Stapel 230 gebildet, wobei die Öffnung 230a im Wesentlichen einer Kontaktöffnung entspricht, die in dem dielektrischen Material 221 zu bilden ist. Im Allgemeinen ist der Ätzprozess 236 weniger kritisch, da eine moderate Dicke für die Schichten 231 und 232 vorgesehen ist, etwa eine Dicke von ungefähr 20 nm oder weniger kann sowohl für die erste als auch die zweite Materialschicht 231, 232 angewendet werden, so dass eine moderat geringe Dicke des Lacksystems 235 ausreichend ist, um die Öffnung 230a bereitzustellen.
  • 2c zeigt schematisch das Halbleiterbauelement 200 während eines weiteren Ätzprozesses 237, d. h. eines plasmabasierten Ätzprozesses zum Entfernen des Lacksystems 235, was auf der Grundlage eines Sauerstoffplasmas und dergleichen ausgeführt werden kann. Während des Lackabtragungsprozesses 237 kann der Stapel 230 zuverlässig eine unerwünschte Einwirkung auf Oberflächenbereiche des dielektrischen Materials 221 verhindern, mit Ausnahme innerhalb der Öffnung 230a, in der eine Schädigung des Materials 221 die gesamte Verarbeitung nicht weiter beeinflusst, da dieser Bereich in einer späteren Phase entfernt wird. Während des Ätzprozesses 237 wird die erste Materialschicht 231, die als eine Hartmaske zum Strukturieren eines Grabens in dem oberen Teil des dielektrischen Materials 221 dient, zuverlässig von dem zweiten Material 232 geschützt, wobei entsprechendes Material in der Schicht 232 keine Auswirkung auf die weitere Bearbeitung ausübt.
  • 2d zeigt schematisch das Halbleiterbauelement 200 gemäß einer anschaulichen Ausführungsform, in der eine verbesserte Gesamtprozessrobustheit erreicht wird, indem eine zusätzliche Deckschicht 234 über dem Schichtstapel 230 und innerhalb der Öffnung 230a vorgesehen wird. Die Deckschicht 234 wird als ein Puffermaterial vorgesehen, um damit eine Kontamination während des nachfolgenden Lithographieprozesses zu verringern, da entsprechende Kontaminationsstoffe in dem dielektrischen Material 221 enthalten sein können und möglicherweise in ein Lackmaterial diffundieren können, wenn dieses direkt mit dem Material 221 in Kontakt kommt. Beispielsweise kann Stickstoff in dem dielektrischen Material 221 vorhanden sein, wodurch eine Modifizierung des Lackmaterials hervorgerufen werden kann, insbesondere, wenn Lackmaterialien für kurze Belichtungswellenlängen betrachtet werden. Somit wird die Schicht 234 als ein im Wesentlichen stickstofffreies Material in einer ausreichenden Dichte vorgesehen, um damit eine Diffusionsaktivität mehr oder weniger flüchtiger Materialien in dem dielektrischen Material 221 deutlich zu verringern. Beispielsweise können Siliziumdioxid, Kohlenstoff, Siliziumkarbid und dergleichen verwendet werden. Es sollte beachtet werden, dass in anderen anschaulichen Ausführungsformen die zusätzliche Deckschicht 234 weggelassen wird, wenn ein direkter Kontakt mit einem Lackmaterial akzeptabel ist.
  • 2e zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der ein Lackmaterial 238, möglicherweise in Verbindung mit anderen organischen Komponenten zur Verbesserung der Oberflächentopographie und/oder optischen Eigenschaften, über den Stapel 230 gebildet ist, während in der gezeigten Ausführungsform das Material 238 auf der zusätzlichen Deckschicht 234 gebildet ist. Des weiteren wird ein Lithographieprozess ausgeführt, um die lateralen Abmessungen eines Grabens zu definieren, der in dem dielektrischen Material 221 zu bilden ist, wie dies durch die gestrichelten Linien angezeigt ist. Somit wird in der gezeigten Ausführungsform das Lacksystem 238 auf der Grundlage weniger kritischer Oberflächenbedingungen im Vergleich zur konventionellen Lösung, wie sie zuvor beschrieben ist, gebildet werden.
  • 2f zeigt schematisch das Halbleiterbauelement 200 nach dem Strukturieren des Lacksystems 238 und während eines Ätzprozesses 239, während welchem ein oberer Bereich 221 einer Kontaktlochöffnung in dem Material 221 gebildet wird. Zu diesem Zweck können gut etablierte Ätzprozesse eingesetzt werden während des Prozesses 231, um zunächst die Deckschicht 234 zu entfernen und nachfolgend in das Material 221 zu ätzen, wobei konventionelle Ätzrezepte eingesetzt werden, wie sie auch in der konventionellen Strategie beschrieben sind. Während des Ätzprozesses 239 wird auch ein freigelegter Bereich der zweiten Materialschicht 232 entfernt, wobei dies von den gesamten Ätzeigenschaften abhängt. Auf Grund der Ätzselektivität der ersten Schicht 231 in Bezug auf die zweite Schicht 232 im Hinblick auf den Ätzprozess 239 dient die Schicht 231 als eine Ätzmaske zur Bildung des oberen Bereichs 221u, wodurch die gewünschte Ätzspürtreue bereitgestellt wird. In einigen anschaulichen Ausführungsformen ist der Ätzprozess 239 als ein im Wesentlichen nicht-selektiver Ätzprozess im Hinblick auf die Materialien der Deckschichten 234, 232 und das dielektrische Material 221 ausgelegt, wobei die Schicht 231 als eine Hartmaske zur Bildung der Öffnung 221u dient.
  • 2g zeigt schematisch das Halbleiterbauelement 200 während einer weiteren Ätzsequenz 240, die so gestaltet ist, dass ein freigelegter Bereich der ersten Materialschicht 231 entfernt wird, wodurch eine zweite Öffnung 230b gebildet wird, deren lateralen Abmessungen einem Graben entsprechen, der in dem Material 221 zu bilden ist. Zu diesem Zweck wird eine geeignete Ätzchemie eingesetzt, die das Ätzen von Material der Schicht 331 ermöglicht, wobei eine Selektivität im Hinblick auf das Material 221 wenig kritisch ist, da freigelegte Bereiche davon ohnehin in einer späteren Fertigungsphase entfernt werden. Es sollte beachtet werden, dass das Lacksystem 238 weiterhin als eine Ätzmaske während der Sequenz 240 dienen kann, während in anderen Fällen das Lacksystem 238 entfernt wird, während die Deckschichten 232 und 234 zuverlässig die bedeckten Bereiche der Schicht 231 schützen, während eine Schädigung der freigelegten Bereiche der Schicht 231 innerhalb der Öffnung 230b vorteilhaft sein kann, um damit die Gesamtverarbeitungszeit zum Entfernen des freigelegten Bereichs innerhalb der Öffnung 230b zu verringern, während die Deckschichten 232 und 234 als entsprechende Ätzmasken dienen. Somit wird eine unerwünschte Freilegung der abgedeckten Bereiche der Schicht 231 im Hinblick auf die Ätzumgebung des Prozessors 240 unterdrückt, wodurch die Integrität der Schicht 231 beibehalten wird, die als eine effiziente Grabenätzmaske während der weiteren Bearbeitung dient.
  • 2h zeigt schematisch das Halbleiterbauelement 200 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem ein Ätzprozess 241 auf der Grundlage der Hartmaske 231 ausgeführt wird, wodurch die Öffnung 221u vertieft wird, um damit eine Kontaktlochöffnung 221a zu bilden, wobei auch ein Graben 221c in einem oberen Bereich der dielektrischen Schicht 221 gebildet wird. Es sollte beachtet werden, dass der Ätzprozess 241 einen Pro zessschritt enthält, der die Deckschichten 232, 234 selektiv in Bezug auf das Material 221 entfernt, während in anderen anschaulichen Ausführungsformen die Ätzchemie des Prozessors 241 keine ausgeprägte Selektivität in Bezug auf die Materialien der Deckschichten 232, 234 einerseits und auf das dielektrische Material 221 andererseits aufweist. Während des Ätzprozesses 241 wird eine Tiefe des Grabens 221t auf der Grundlage einer gesteuerten Echtzeit eingestellt, während ein zuverlässiger Stopp des Ätzprozesses in der Kontaktlochöffnung 221a mittels der Ätzstoppschicht 213 erreicht wird. Danach wird ein geeignet gestalteter Ätzschritt ausgeführt, um durch die Ätzstoppschicht 213 zu ätzen.
  • 2i zeigt schematisch das Halbleiterbauelement 200 nach der zuvor beschriebenen Prozesssequenz, so dass die Kontaktlochöffnung 221a einen Teil des Metallgebiets 212 freilegt. In einigen anschaulichen Ausführungsformen wird die weitere Bearbeitung fortgesetzt, indem eine Barrierenschicht bei Bedarf abgeschieden wird und nachfolgend der Graben 221t und die Kontaktlochöffnung 221a mit einem geeigneten Metall, etwa Kupfer und dergleichen gefüllt werden, wobei bei Bedarf ein zusätzlicher Abscheideprozess ausgeführt werden kann, um eine Saatschicht vorzusehen. Zu diesem Zweck können gut etablierte Prozesstechniken angewendet werden.
  • 2j zeigt schematisch das Halbleiterbauelement 200 nach der zuvor beschriebenen Prozesssequenz. Somit wird eine Barrierenschicht auf der Hartmaskenschicht 231 und innerhalb des Grabens 221t und in der Kontaktlochöffnung 221a gebildet und eine Metallschicht 224 wird vorgesehen, um zuverlässig den Graben 221t und die Kontaktlochöffnung 221a zu füllen.
  • 2k zeigt schematisch das Bauelement 200 während eines Abtragungsprozesses 225, der eine beliebige geeignete Prozesstechnik enthält, beispielsweise elektrochemisches Ätzen, CMP und dergleichen. In einer anschaulichen Ausführungsform wird die Hartmaskenschicht 231 ebenfalls als eine Stoppschicht für den Prozess 225 verwendet, beispielsweise während eines abschließenden CMP-Schritts, wodurch die Integrität des empfindlichen dielektrischen Materials 221 bewahrt wird. Somit werden, wie gezeigt ist, eine Metallleitung 224a und eine Kontaktdurchführung 224b nach dem Abtragungsprozess 225 gebildet, wobei der verbleibende Bereich der Schicht 231 als eine Deckschicht für das Material 221 dient. In diesem Falle wird die Schicht 231 als ein dielektrisches Material vorgesehen, das nicht in unerwünschter Weise die elektrischen Gesamteigenschaften der Metallisierungs schicht 220 beeinflusst. In anderen anschaulichen Ausführungsformen umfasst die Schicht 231 ein Metall und besitzt eine gewisse Leitfähigkeit, die das Entfernen der Schicht 231 notwendig macht. Dies kann in einer beliebigen geeigneten Fertigungsphase nach der Herstellung des Grabens 221t und der Kontaktlochöffnung 221 bewerkstelligt werden, wobei in einigen anschaulichen Ausführungsformen die Schicht 231 während oder nach dem Abtragungsprozess 225 entfernt wird.
  • 2l zeigt schematisch das Halbleiterbauelement 200 während eines weiteren CMP-Schritts, in welchem die Schicht 231 entfernt wird. In einigen anschaulichen Ausführungsformen sind die Barrierenschicht 230 und die Hartmaskenschicht 231 aus Materialien mit ähnlichen Eigenschaften im Hinblick auf einen CMP-Prozess aufgebaut oder diese Materialschichten besitzen im Wesentlichen die gleiche Zusammensetzung, so dass das Entfernen der Schicht 231 während des Prozesses 225 bewerkstelligt werden kann.
  • Folglich kann das zuvor beschriebene Strukturierungsschema für eine verbesserte Integrität des dielektrischen Materials 221 sorgen, da die Einwirkung reaktiver Ätzatmosphären im Wesentlichen vermieden wird, wobei auch die Integrität zumindest einer Materialschicht des Stapels 230 beibehalten wird, wodurch für eine verbesserte Ätzspurtreue während der entsprechenden Strukturierungssequenzen zur Herstellung der Kontaktlochöffnung 221a und des Grabens 221t gesorgt wird. In den obigen Ausführungsformen enthält der Schichtstapel 230 zwei Schichten, wovon eine, d. h. die Schicht 231, die eigentliche Hartmaskenschicht repräsentiert, während die Schicht 232 für die gewünschte verbesserte Integrität der Hartmaskenschicht vor dem eigentlichen Grabenätzprozess sorgt. In anderen anschaulichen Ausführungsformen umfasst der Schichtstapel 230 ein oder mehrere weitere Schichten, beispielsweise zur Verbesserung der Integrität des dielektrischen Materials 221 insbesondere im Hinblick auf das Entfernen der eigentlichen Hartmaskenschicht 231.
  • 2m zeigt schematisch das Bauelement 200 gemäß noch weiteren anschaulichen Ausführungsformen, in denen eine zusätzliche Deckschicht 233 vorgesehen ist, beispielsweise in Form von Siliziumdioxid, Kohlenstoff, Siliziumkarbid und dergleichen, wie dies mit den gesamten Bauteilerfordernissen kompatibel ist. Beispielsweise wird die zusätzliche Deckschicht 233 als ein Teil des anfänglichen Schichtstapels 230 vor dem Abscheiden der eigentlichen Hartmaskenschicht 231 gebildet. Die weitere Bearbeitung, d. h. das Strukturieren des Stapels 230 mit der zusätzlichen Deckschicht 233, kann in ähnlicher Weise ausge führt werden, wie dies zuvor beschrieben ist, wobei das Vorsehen der Schicht 233 zu einer erhöhten Flexibilität bei der Auswahl geeigneter Materialien für die Schicht 231 führt, da ein direkter Kontakt mit dem Material 221 durch die Schicht 233 unterdrückt wird. In der gezeigten Fertigungsphase wird der Abtragungsprozess 225 so ausgeführt, dass überschüssiges Material der Metallschicht 224 entfernt wird, wie dies zuvor beschrieben ist, und der Prozess 225 umfasst ferner einen weiteren Abtragungsprozess, etwa einen CMP-Schritt, um auch die Barrierenschicht 223 und die Schicht 231 zu entfernen, wobei die zusätzliche Deckschicht 233 für eine verbesserte Unversehrtheit des empfindlichen dielektrischen Materials 221 sorgt.
  • 2n zeigt schematisch das Bauelement 200 nach dem Abtragungsprozess 225, wobei die Hartmaskenschicht 231 entfernt sein kann, während die Schicht 233 oder zumindest ein Teil davon weiterhin die Oberfläche des dielektrischen Materials 221 bedeckt.
  • Mit Bezug zu den 2o und 2p werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen die Kontaktlochöffnung 221a vor dem eigentlichen Ausführen des Grabenätzprozesses gebildet wird, wodurch ein hohes Maß an Kompatibilität mit der konventionellen Prozesssequenz geschaffen wird, wie sie zuvor mit Bezug zu dem Bauelement 100 beschrieben ist.
  • 2o zeigt schematisch das Bauelement 200 mit dem Materialschichtstapel 230, der strukturiert ist, wie dies beispielsweise zuvor beschrieben ist, um damit die erste Öffnung 230a darin zu bilden. Danach wird das Lackmaterial entfernt, wie dies zuvor beschrieben ist, wobei die Deckschicht 232 die Maskenschicht 231 abdeckt, um damit eine unerwünschte Wechselwirkung mit der reaktiven Umgebung während des Lackabtragungsprozesses zu vermeiden. Anschließend wird ein anisotroper Ätzprozess ausgeführt auf der Grundlage der Schichten 232, 231, während in anderen Fällen die Schicht 232 ebenfalls während des entsprechenden Ätzprozesses entfernt wird, wenn eine ausgeprägte Ätzselektivität des Ätzrezepts im Hinblick auf die Schichten 221 und 232 nicht vorgesehen ist. In diesem Falle dient die Hartmaske 231 als eine Ätzmaske zum Bilden der Kontaktlochöffnung 221a.
  • 2p zeigt schematisch das Bauelement 200 in einem weiter fortgeschrittenen Herstellungsstadium, in welchem ein Einebnungsmaterial 203 in der Öffnung 221 und über der Schicht 231 ausgebildet ist, wie dies zuvor im Zusammenhang mit dem Bauelement 100 beschrieben ist, um eine im Wesentlichen ebene Oberflächentopographie zu schaffen und ebenfalls die gewünschten optischen Eigenschaften bereitzustellen. Des weiteren ist in einigen anschaulichen Ausführungsformen die Deckschicht 234 in der Öffnung 221a so gebildet, dass ein direkter Kontakt mit dem dielektrischen Material 221 vermieden wird, was vorteilhaft sein kann im Hinblick auf den Einbau kontaminierender Sorten aus dem Einebnungsmaterial 203 in das dielektrische Material 221. Zu diesem Zweck kann ein beliebiges geeignetes Material, etwa Siliziumdioxid, Kohlenstoff, und dergleichen verwendet werden, wie dies auch zuvor erläutert ist. Danach wird die weitere Bearbeitung in ähnlicher Weise fortgesetzt, wie dies mit Bezug zu dem Bauelement 100 beschrieben ist, wobei jedoch die Hartmaskenschicht 231 während des Grabenstrukturierungsprozesses verwendet wird und auch für die Integrität des Materials 221 während des Entfernens der Lackmaske einschließlich des Einebnungsmaterials 234 sorgt. Auch in diesem Falle wird ein hohes Maß an Kompatibilität mit konventionellen Strategien beibehalten, wobei dennoch für eine verbesserte Prozessgleichmäßigkeit gesorgt ist.
  • Es gilt also: Die hierin offenbarten Techniken stellen ein verbessertes Strukturierungsschema zur Bildung von Gräben und Kontaktlochöffnungen in einem dielektrischen Material modemster Halbleiterbauelemente bereit, indem die Einwirkung von reaktiven Lackabtragungsprozessen auf empfindliche dielektrische Materialien deutlich verringert wird, wodurch die Gesamtprozessgleichmäßigkeit verbessert wird.
  • Weitere Modifizierungen und Variationen der vorliegenden Offenbarung werden angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (25)

  1. Verfahren mit: Bilden eines Schichtstapels auf einer dielektrischen Schicht mit kleinem ε, die über einem Substrat eines Halbleiterbauelements ausgebildet ist, wobei der Schichtstapel eine Hartmaskenschicht, die über der dielektrischen Schicht mit kleinem ε gebildet ist, und eine erste Deckschicht, die auf der Hartmaskenschicht gebildet ist, aufweist; Bilden einer ersten Öffnung in dem Schichtstapel; Bilden eines ersten Teils einer Kontaktlochöffnung in der dielektrischen Schicht mit kleinem ε unter Anwendung der Hartmaskenschicht als eine erste Ätzmaske; Bilden einer Grabenätzmaske durch Bilden einer zweiten Öffnung in der Hartmaskenschicht; und Bilden eines zweiten Teils der Kontaktlochöffnung und eines Grabens in der dielektrischen Schicht mit kleinem ε auf der Grundlage der Grabenätzmaske.
  2. Verfahren nach Anspruch 1, wobei Bilden der Grabenätzmaske umfasst: Entfernen der ersten Deckschicht von der Hartmaskenschicht.
  3. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer zweiten Deckschicht in der ersten Öffnung vor dem Bilden der zweiten Öffnung.
  4. Verfahren nach Anspruch 1, das ferner umfasst: Ätzen durch eine Ätzstoppschicht, die unter der dielektrischen Schicht mit kleinem ε gebildet ist, um die Kontaktlochöffnung in einem Kontaktgebiet, das unter der Ätzstoppschicht gebildet ist, zu erweitern.
  5. Verfahren nach Anspruch 1, das ferner umfasst: Füllen der Kontaktlochöffnung und des Grabens mit einem Metall in einem gemeinsamen Füllprozess.
  6. Verfahren nach Anspruch 5, das ferner umfasst Bilden einer leitenden Barrierenschicht in dem Graben und der Kontaktlochöffnung vor dem Füllen der Kontaktlochöffnung und des Grabens mit einem Metall.
  7. Verfahren nach Anspruch 6, das ferner umfasst: Entfernen von überschüssigem Material und zumindest eines Teils der Hartmaskenschicht durch einen Einebnungsprozess.
  8. Verfahren nach Anspruch 1, wobei Bilden des Schichtstapels umfasst: Bilden einer unteren Deckschicht über der dielektrischen Schicht mit kleinem ε und Bilden der Hartmaskenschicht auf der unteren Deckschicht.
  9. Verfahren nach Anspruch 8, das ferner umfasst: Entfernen der Hartmaskenschicht nach dem Bilden des Grabens durch Verwenden der unteren Deckschicht als Stoppmaterial.
  10. Verfahren nach Anspruch 1, wobei die Hartmaskenschicht ein Metall aufweist.
  11. Verfahren nach Anspruch 10, wobei das Metall Tantal und/oder Titan enthält.
  12. Verfahren nach Anspruch 1, wobei die erste Deckschicht aus Siliziumdioxid und/oder Kohlenstoff aufgebaut ist.
  13. Verfahren mit: Bilden einer Hartmaskenschicht über einem dielektrischen Material einer Metallisierungsschicht eines Halbleiterbauelements, wobei die Hartmaskenschicht eine erste Materialschicht, die über dem dielektrischen Material gebildet ist, und eine zweite Materialschicht, die auf der ersten Materialschicht gebildet ist, aufweist; Bilden einer ersten Öffnung der Hartmaskenschicht, wobei die erste Öffnung einer Kontaktlochöffnung entspricht, die in dem dielektrischen Material herzustellen ist; Bilden der Kontaktlochöffnung in dem dielektrischen Material unter Anwendung zumindest der ersten Materialschicht der Hartmaskenschicht als eine Ätzmaske; Bilden einer Deckschicht über der Hartmaskenschicht und in der ersten Öffnung; Bilden einer zweiten Öffnung in der Hartmaskenschicht, wobei die zweite Öffnung einem Graben entspricht, der in dem dielektrischen Material herzustellen ist; und Bilden des Grabens in dem dielektrischen Material mit der Anwendung zumindest der ersten Materialschicht als eine Ätzmaske.
  14. Verfahren nach Anspruch 13, wobei die Deckschicht vor dem Bilden der Kontaktlochöffnung gebildet wird.
  15. Verfahren nach Anspruch 13, wobei die Deckschicht nach dem Bilden der Kontaktlochöffnung gebildet wird und wobei das Verfahren ferner umfasst: Bilden eines Einebnungsmaterials in der Kontaktlochöffnung vor dem Bilden der zweiten Öffnung.
  16. Verfahren nach Anspruch 13, wobei Bilden der Kontaktlochöffnung umfasst: Bilden eines ersten Teils der zweiten Öffnung in der zweiten Materialschicht und Bilden eines ersten Teils der Kontaktlochöffnung in der dielektrischen Schicht vor dem Bilden eines zweiten Teils in der zweiten Öffnung in der ersten Materialschicht.
  17. Verfahren nach Anspruch 16, das ferner umfasst: Bilden eines zweiten Teils der Kontaktlochöffnung und des Grabens in einer gemeinsamen Ätzsequenz.
  18. Verfahren nach Anspruch 1, das ferner umfasst: Entfernen der Hartmaskenschicht durch chemisch-mechanisches Polieren.
  19. Verfahren nach Anspruch 18, das ferner umfasst: Füllen der Kontaktlochöffnung und des Grabens mit einem metallenthaltenden Material und Entfernen der Hartmaskenschicht nach dem Entfernen von überschüssigem Material des metallenthaltendem Materials.
  20. Verfahren nach Anspruch 18, wobei die erste Materialschicht der Hartmaskenschicht ein Metall aufweist.
  21. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer unteren Schicht über dem dielektrischen Material vor dem Bilden der Hartmaskenschicht.
  22. Verfahren zum Strukturieren eines dielektrischen Materials einer Metallisierungsschicht eines Halbleiterbauelements, wobei das Verfahren umfasst: Bilden einer ersten Hartmaskenschicht über dem dielektrischen Material; Bilden einer zweiten Hartmaskenschicht auf der ersten Hartmaskenschicht; Bilden einer ersten Öffnung in der ersten und der zweiten Hartmaskenschicht; wobei die erste Öffnung einer Kontaktlochöffnung entspricht, die in dem dielektrischen Material herzustellen ist; Bilden einer zweiten Öffnung in der zweiten Hartmaskenschicht und eines ersten Teils der Kontaktlochöffnung in dem dielektrischen Material, wobei die zweite Öffnung einem Graben entspricht, der in dem dielektrischen Material herzustellen ist; Bilden der zweiten Öffnung in der ersten Hartmaskenschicht; und Bilden des Grabens und eines zweiten Teils der Kontaktlochöffnung unter Anwendung der ersten Hartmaskenschicht als eine Ätzmaske.
  23. Verfahren nach Anspruch 22, das ferner umfasst: Bilden einer Deckschicht in der ersten Öffnung vor dem Bilden der zweiten Öffnung.
  24. Verfahren nach Anspruch 23, das ferner umfasst: Entfernen der ersten Hartmaskenschicht nach dem Bilden des zweiten Teils der Kontaktlochöffnung und des Grabens.
  25. Verfahren nach Anspruch 24, wobei die erste Hartmaskenschicht ein Metall aufweist.
DE102008016425.9A 2008-03-31 2008-03-31 Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials Active DE102008016425B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102008016425.9A DE102008016425B4 (de) 2008-03-31 2008-03-31 Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
US12/354,884 US8048811B2 (en) 2008-03-31 2009-01-16 Method for patterning a metallization layer by reducing resist strip induced damage of the dielectric material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102008016425.9A DE102008016425B4 (de) 2008-03-31 2008-03-31 Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials

Publications (2)

Publication Number Publication Date
DE102008016425A1 true DE102008016425A1 (de) 2009-10-01
DE102008016425B4 DE102008016425B4 (de) 2015-11-19

Family

ID=41011203

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102008016425.9A Active DE102008016425B4 (de) 2008-03-31 2008-03-31 Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials

Country Status (2)

Country Link
US (1) US8048811B2 (de)
DE (1) DE102008016425B4 (de)

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7977244B2 (en) * 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9165822B2 (en) * 2013-03-11 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of forming same
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102068677B1 (ko) 2013-04-10 2020-01-22 삼성전자 주식회사 반도체 소자 제조 방법
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) * 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10699912B2 (en) 2018-10-12 2020-06-30 International Business Machines Corporation Damage free hardmask strip
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11978668B2 (en) 2021-09-09 2024-05-07 Samsung Electronics Co., Ltd. Integrated circuit devices including a via and methods of forming the same

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020009873A1 (en) * 2000-07-24 2002-01-24 Tatsuya Usami Semiconductor device and method of manufacturing the same
US6448176B1 (en) * 1998-01-16 2002-09-10 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US20030040172A1 (en) * 2001-08-23 2003-02-27 Brennan Kenneth D. Aluminum hardmask for dielectric etch
US20030045124A1 (en) * 2001-09-06 2003-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for protecting sidewalls of etched openings to prevent via poisoning
US20040100779A1 (en) * 2002-11-26 2004-05-27 Texas Instruments Incorporated Via formation for damascene metal conductors in an integrated circuit
US6890869B2 (en) * 2000-08-18 2005-05-10 Tokyo Electron Limited Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US20050167839A1 (en) * 2004-01-30 2005-08-04 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
US20050282382A1 (en) * 2004-06-21 2005-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of preventing photoresist poisoning of a low-dielectric-constant insulator
US6979579B1 (en) * 2004-03-30 2005-12-27 Lam Research Corporation Methods and apparatus for inspecting contact openings in a plasma processing system
US20060270214A1 (en) * 2005-05-30 2006-11-30 Fujitsu Limited Semiconductor device and method for fabricating the same
US20070105362A1 (en) * 2005-11-09 2007-05-10 Kim Jae H Methods of forming contact structures in low-k materials using dual damascene processes

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100282232B1 (ko) * 1999-02-22 2001-02-15 김영환 반도체장치의 배선 형성방법
JP2003037162A (ja) * 2001-07-23 2003-02-07 Tokyo Electron Ltd 半導体装置の製造方法
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
US7157366B2 (en) * 2002-04-02 2007-01-02 Samsung Electronics Co., Ltd. Method of forming metal interconnection layer of semiconductor device
US6853043B2 (en) * 2002-11-04 2005-02-08 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning
JP4160569B2 (ja) * 2004-05-31 2008-10-01 株式会社東芝 半導体装置の製造方法
US7737556B2 (en) * 2005-09-30 2010-06-15 Taiwan Semiconductor Manufacturing Co., Ltd Encapsulated damascene with improved overlayer adhesion
US7358182B2 (en) * 2005-12-22 2008-04-15 International Business Machines Corporation Method of forming an interconnect structure
US7781154B2 (en) * 2006-03-28 2010-08-24 Applied Materials, Inc. Method of forming damascene structure

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6448176B1 (en) * 1998-01-16 2002-09-10 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US20020009873A1 (en) * 2000-07-24 2002-01-24 Tatsuya Usami Semiconductor device and method of manufacturing the same
US6890869B2 (en) * 2000-08-18 2005-05-10 Tokyo Electron Limited Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US20030040172A1 (en) * 2001-08-23 2003-02-27 Brennan Kenneth D. Aluminum hardmask for dielectric etch
US20030045124A1 (en) * 2001-09-06 2003-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for protecting sidewalls of etched openings to prevent via poisoning
US20040100779A1 (en) * 2002-11-26 2004-05-27 Texas Instruments Incorporated Via formation for damascene metal conductors in an integrated circuit
US20050167839A1 (en) * 2004-01-30 2005-08-04 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
US6979579B1 (en) * 2004-03-30 2005-12-27 Lam Research Corporation Methods and apparatus for inspecting contact openings in a plasma processing system
US20050282382A1 (en) * 2004-06-21 2005-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of preventing photoresist poisoning of a low-dielectric-constant insulator
US20060270214A1 (en) * 2005-05-30 2006-11-30 Fujitsu Limited Semiconductor device and method for fabricating the same
US20070105362A1 (en) * 2005-11-09 2007-05-10 Kim Jae H Methods of forming contact structures in low-k materials using dual damascene processes

Also Published As

Publication number Publication date
US20090246951A1 (en) 2009-10-01
US8048811B2 (en) 2011-11-01
DE102008016425B4 (de) 2015-11-19

Similar Documents

Publication Publication Date Title
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102008016424B4 (de) Verfahren mit einem Bilden einer Kontaktloshöffnung und eines Grabens in einer dielektrischen Schicht mit kleinem ε
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE69837313T2 (de) Verfahren zur Herstellung von koplanaren Metal/isolierenden mehrlagigen Schichten unter Verwendung eines damaszenen Prozesses mit fliesfähiger Opferoxyd
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102010002451B4 (de) Verfahren zur Herstellung von Kontaktelementen von Halbleiterbauelementen
DE102005020060B4 (de) Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102008063430A1 (de) Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102010064289A1 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102008006962A1 (de) Halbleiterbauelement mit einem Kondensator im Metallisierungssystem und ein Verfahren zur Herstellung des Kondensators
DE102009006798A1 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102008054068A1 (de) Veringern von Metallhohlräumen in einem metallischen Schichtstapel eines Halbleiterbauelements durch Vorsehen einer dielektrischen Barrierenschicht
DE102010063294A1 (de) Metallisierungssysteme von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102008044988A1 (de) Verwenden einer Deckschicht in Metallisierungssystemen von Halbleiterbauelementen als CMP- und Ätzstoppschicht
DE102008026211B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: ADVANCED MICRO DEVICES, INC., SANTA CLARA, US

Free format text: FORMER OWNERS: ADVANCED MICRO DEVICES, INC., SUNNYVALE, CALIF., US; AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE