DE102017128235A1 - Strukturierungsverfahren für ein halbleiterbauelement und daraus resultierende strukturen - Google Patents

Strukturierungsverfahren für ein halbleiterbauelement und daraus resultierende strukturen Download PDF

Info

Publication number
DE102017128235A1
DE102017128235A1 DE102017128235.1A DE102017128235A DE102017128235A1 DE 102017128235 A1 DE102017128235 A1 DE 102017128235A1 DE 102017128235 A DE102017128235 A DE 102017128235A DE 102017128235 A1 DE102017128235 A1 DE 102017128235A1
Authority
DE
Germany
Prior art keywords
layer
sacrificial material
spacer layer
mandrel
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017128235.1A
Other languages
English (en)
Inventor
Tai-Yen PENG
Chao-Kuei Yeh
Ying-Hao Wu
Chih-hao Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017128235A1 publication Critical patent/DE102017128235A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects

Abstract

Ein Verfahren der Ausführungsform beinhaltet das Definieren eines ersten Dorns und eines zweiten Dorns über einer Hartmaskenschicht. Das Verfahren beinhaltet auch das Abscheiden einer Abstandsschicht über und entlang Seitenwänden des ersten Dorns und des zweiten Dorns, und das Bilden eines Opfermaterials über der Abstandsschicht zwischen dem ersten Dorn und dem zweiten Dorn. Das Opfermaterial beinhaltet ein anorganisches Oxid. Das Verfahren beinhaltet ferner das Entfernen erster horizontaler Abschnitte der Abstandsschicht zum Freilegen des ersten Dorns und des zweiten Dorns. Verbleibende Abschnitte der Abstandsschicht stellen Abstandshalter auf Seitenwänden des ersten Dorns und des zweiten Dorns bereit. Das Verfahren beinhaltet ferner das Entfernen des ersten Dorns und des zweiten Dorns und das Strukturieren der Hartmaskenschicht unter Verwendung der Abstandshalter und des Opfermaterials als eine Ätzmaske.

Description

  • PRIORITÄT
  • Diese Anmeldung beansprucht Priorität gegenüber der vorläufigen US-Anmeldung Nr. 62/491,614 , eingereicht am 28. April 2017, welche hiermit durch Verweis hierin eingeschlossen ist.
  • STAND DER TECHNIK
  • Mit der zunehmenden Verkleinerung von Halbleiterbauelementen werden verschiedene Verarbeitungstechniken (z.B. Photolithographie) angepasst, um die Herstellung von Bauelementen mit zunehmend kleineren Abmessungen zu gestatten. Zum Beispiel werden, mit einer zunehmenden Dichte von Gates, die Herstellungsprozesse verschiedener Merkmale in den Bauelementen (z.B. übereinanderliegende Verbindungsmerkmale) dahingehend angepasst, dass sie mit der Verkleinerung von Bauelementmerkmalen als Ganzes kompatibel sind. Jedoch hat, da Halbleiterprozesse zunehmend kleinere Prozessfenster aufweisen, die Herstellung dieser Bauelemente die theoretischen Grenzen von Photolithographie-Vorrichtungen erreicht und sogar überschritten. Da Halbleiterbauelemente immer kleiner werden, ist der gewünschte Zwischenraum zwischen Elementen (d.h. der Abstand) eines Bauelementes kleiner als der Abstand, der unter Verwendung traditioneller optischer Masken und Photolithographie-Vorrichtungen hergestellt werden kann.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung in Verbindung mit den beigefügten Figuren verstanden. Es sei darauf hingewiesen, dass, in Übereinstimmung mit der Standardpraxis in der Industrie, verschiedene Merkmale nicht maßstabsgerecht gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zum Zweck der Klarheit der Diskussion willkürlich vergrößert oder verkleinert sein.
    • 1, 2, 3, 4, 5, 6A, 6B, 7A, 7B, 8A, 8B, 9A, 9B, 10A, 10B, 11A, 11B, 12A, 12B, 13A, 13B, 14, 15A, 15B, 16A, 16B, 17A und 17B veranschaulichen Querschnittansichten verschiedener Zwischenstufen der Herstellung eines Halbleiterbauelementes in Übereinstimmung mit einigen Ausführungsformen.
    • 18A, 18B, 19A, 19B, 20A und 20B veranschaulichen Querschnittansichten verschiedener Zwischenstufen der Herstellung eines Halbleiterbauelementes in Übereinstimmung mit einigen anderen Ausführungsformen.
    • 21A, 21B, 22A, 22B, 23A, 23B, 24A, 24B, 25A, 25B, 26A, 26B, 27A, 27B, 28A, 28B, 29A, 29B und 30 veranschaulichen Querschnittansichten verschiedener Zwischenstufen der Herstellung eines Halbleiterbauelementes in Übereinstimmung mit einigen anderen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele unterschiedliche Ausführungsformen oder Beispiele zur Implementierung unterschiedlicher Merkmale der Erfindung vor. Spezifische Beispiele von Komponenten und Anordnungen sind unten zur Vereinfachung der vorliegenden Offenbarung beschrieben. Dabei handelt es sich natürlich lediglich um Beispiele, und diese sollen nicht einschränkend sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachfolgenden Beschreibung Ausführungsformen beinhalten, in welchen das erste und zweite Merkmal in direktem Kontakt gebildet sind, und sie können auch Ausführungsformen beinhalten, in welchen zusätzliche Merkmale zwischen dem ersten und zweiten Merkmal gebildet sein können, derart, dass das erste und zweite Merkmale möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Referenzziffern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und diktiert nicht an sich eine Beziehung zwischen den diskutierten verschiedenen Ausführungsformen und/oder Konfigurationen.
  • Ferner können räumlich in Beziehung setzende Begriffe, wie z.B. „unterhalb“, „unter“, „untere/r/s“, „über“, „obere/r/s“ und dergleichen, hierin zur einfacheren Beschreibung verwendet werden, um die Beziehung eines Elementes oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich in Beziehung setzenden Begriffe sollen unterschiedliche Ausrichtungen des Bauelementes in Verwendung oder Betrieb zusätzlich zu der in den Figuren dargestellten Ausrichtung mit einschließen. Die Vorrichtung kann anderweitig ausgerichtet sein (gedreht um 90 Grad oder in anderen Ausrichtungen), und die hierin verwendeten räumlich in Beziehung setzenden Deskriptoren können ebenfalls dementsprechend interpretiert werden.
  • Verschiedene Ausführungsformen sind in Bezug auf einen spezifischen Strukturierungsprozess beschrieben, nämlich ein SADP (Self-Aligned Double Patterning) - Prozess, bei welchem Dorne strukturiert werden, Abstandshalter entlang von Seitenwänden der Dorne ausgebildet werden und die Dorne entfernt werden, wodurch die Abstandshalter zurückbleiben, um ein Muster auf der Hälfte eines Abstands der Dorne zu definieren. Jedoch können verschiedene Ausführungsformen auch auf andere Strukturierungsprozesse gerichtet sein, wie z.B. SAQP (Self-Aligned Quadruple Patterning) und dergleichen.
  • Ein Halbleiterbauelement und ein Verfahren sind in Übereinstimmung mit einigen Ausführungsformen vorgesehen. Insbesondere wird ein selbstausrichtender Doppel-Strukturierungsprozess (SADP) zum Strukturieren von Leitungen in einem Halbleiterbauelement durchgeführt. Die strukturierten Leitungen weisen einen Abstand auf, der mindestens eine Hälfte eines Mindestabstands, der unter Verwendung von photolithographischen Prozessen erreichbar ist, beträgt. Die strukturierten Leitungen sind zwischen benachbarten Seitenwänden von Abstandshaltern definiert, und ein strukturiertes Opfermaterial (gelegentlich als ein Umkehrmaterial bezeichnet) ist in den strukturierten Leitungen gebildet. Das Opfermaterial kann ein anorganisches Material umfassen, welches durch das Strukturieren von Öffnungen in einer Maske (wobei die Öffnungen ausgewählte Bereiche der strukturierten Leitungen freilegen) und Abscheiden des anorganischen Materials in den Öffnungen unter Verwendung eines geeigneten Schichtabscheidungsprozesses, wie z.B. chemische Gasphasenabscheidung (CVD - Chemical Vapor Deposition), physikalische Gasphasenabscheidung (PVD - Physical Vapor Deposition), Atomlagenabscheidung (ALD - Atomic Layer Deposition) und dergleichen, gebildet wird. Nachdem das Opfermaterial gebildet wurde, werden die Abstandshalter und das Opfermaterial zum Strukturieren einer darunterliegenden Maskenschicht verwendet, welche wiederum zum Strukturieren einer Zielschicht verwendet wird. Die darunterliegende Zielschicht kann eine Schicht sein, die für eine Vielzahl von Zwecken verwendet wird. Zum Beispiel kann die Zielschicht eine Schicht mit niedriger Dielektrizitätszahl sein, in welcher Öffnungen unter Verwendung der Maskenschicht strukturiert werden. Anschließend kann/können leitfähige/s Material/ien in die Öffnungen der Schicht mit niedriger Dielektrizitätszahl gefüllt werden, um Verbindungsleitungen zu definieren. Die Verbindungsleitungen können einen feineren Abstand aufweisen, als unter Verwendung von photolithographischen Prozessen allein erreichbar wäre. Im Vergleich zu organischen Opfermaterialien, die unter Verwendung eines Aufschleuderprozesses gebildet werden, resultieren die Abscheidungsprozesse anorganischer Materialien der Ausführungsform in einer verbesserten Definition des Opfermaterials mit weniger Defekten (z.B. weniger Blasenbildung). Somit können fein beabstandete Halbleiterstrukturen mit verbessertem Ertrag hergestellt werden.
  • 1 bis 17B veranschaulichen Querschnittansichten von Zwischenstufen bei der Bildung von Merkmalen in einer Zielschicht 102 auf einem Halbleiterbauelement 100 in Übereinstimmung mit einigen beispielhaften Ausführungsformen. Die Zielschicht 102 ist eine Schicht, in welcher mehrere Muster in Übereinstimmung mit Ausführungsformen der vorliegenden Offenbarung gebildet werden sollen. In einigen Ausführungsformen wird das Halbleiterbauelement 100 als Teil eines größeren Wafers bearbeitet. In derartigen Ausführungsformen kann, nachdem verschiedene Merkmale des Halbleiterbauelementes 100 gebildet wurden (z.B. aktive Bauelemente, Verbindungsstrukturen und dergleichen), ein Vereinzelungsprozess angewandt werden, um Leitungsregionen des Wafers anzureißen, um einzelne Halbleiter-Chips von dem Wafer zu trennen (auch bezeichnet als Vereinzelung).
  • In einigen Ausführungsformen ist die Zielschicht 102 eine Inter-Metall-Dielektrikum (IMD - Inter-Metal Dielectric) -Schicht. In derartigen Ausführungsformen umfasst die Zielschicht 102 ein Material mit niedriger Dielektrizitätszahl, das zum Beispiel eine Dielektrizitätskonstante (k-Wert) von weniger als 3,8, weniger als etwa 3,0 oder weniger als etwa 2,5 aufweist. In alternativen Ausführungsformen ist die Zielschicht 102 eine IMD-Schicht, die ein Material mit hoher Dielektrizitätszahl umfasst, das einen k-Wert von mehr als 3,8 aufweist. Öffnungen können in der Zielschicht 102 mit den Prozessen der Ausführungsform strukturiert werden, und leitfähige Leitungen und/oder Durchkontaktierungen können wie unten beschrieben in den Öffnungen gebildet werden.
  • In einigen Ausführungsformen ist die Zielschicht 102 ein Halbleitersubstrat. Das Halbleitersubstrat kann aus einem Halbleitermaterial, wie z.B. Silicium, Siliciumgermanium oder dergleichen gebildet sein. In einigen Ausführungsformen ist das Halbleitersubstrat ein kristallines Halbleitersubstrat, wie z.B. ein kristallines Silicium-Substrat, ein kristallines Siliciumkohlenstoff-Substrat, ein kristallines Siliciumgermanium-Substrat, ein III-V-Verbindungshalbleiter-Substrat oder dergleichen. Das Halbleitersubstrat kann mit einem Prozess der Ausführungsform strukturiert werden, und anschließende Prozessschritte können zum Bilden von Grabenisolation (STI - Shallow Trench Isolation) -Regionen in dem Substrat verwendet werden. Halbleiterrippen können zwischen den gebildeten STI-Regionen hervorstehen. Source/Drain-Regionen können in den Halbleiterrippen gebildet sein, und Gate-Dielektrikum- und Elektroden-Schichten können über Kanalregionen der Rippen gebildet sein, wodurch Halbleiterbauelemente, wie z.B. Rippen-Feldeffekttransistoren (finFETs - Fin Field Effect Transistors) gebildet werden.
  • In einigen Ausführungsformen ist die Zielschicht 102 eine leitfähige Schicht, wie z.B. eine Metallschicht oder eine Polysilicium-Schicht, welche umfassend abgeschieden ist. Strukturierungsprozesse der Ausführungsform können auf die Zielschicht 102 angewandt werden, um Halbleiter-Gates und/oder Dummy-Gates von finFETs zu strukturieren. Durch die Verwendung von Prozessen der Ausführungsform zum Strukturieren einer leitfähigen Zielschicht 102 kann ein Zwischenraum zwischen benachbarten Gates reduziert werden und eine Gate-Dichte kann erhöht werden.
  • In 1 ist ein Schichtstapel, einschließlich der Zielschicht 102, im Halbleiterbauelement 100 gebildet. In einigen Ausführungsformen kann die Zielschicht 102 über einem Halbleitersubstrat 104 gebildet sein. Das Halbleitersubstrat 104 kann aus einem Halbleitermaterial, wie z.B. Silicium, dotiert oder undotiert, gebildet sein oder kann eine aktive Schicht eines Halbleiter-auf-Isolator (SOI - Semiconductor-on-Insulator) -Substrats sein. Das Halbleitersubstrat 104 kann auch andere Halbleitermaterialien, wie z.B. Germanium; einen Verbindungshalbleiter, einschließlich Siliciumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon beinhalten. Andere Substrate, wie z.B. mehrschichtige oder Gradientensubstrate, können auch zum Einsatz kommen. Bauelemente (nicht veranschaulicht), wie z.B. Transistoren, Dioden, Kondensatoren, Widerstände usw., können in und/oder auf einer aktiven Oberfläche des Halbleitersubstrats 104 gebildet sein. In anderen Ausführungsformen, bei welchen die Zielschicht 102 ein Halbleitersubstrat ist, das zum Bilden von finFETs verwendet wird, kann das Halbleitersubstrat 104 weggelassen sein.
  • Obwohl 1 die Zielschicht 102 als in physischem Kontakt mit dem Halbleitersubstrat 104 stehend veranschaulicht ist, kann jegliche Zahl von Zwischenschichten zwischen der Zielschicht 102 und dem Halbleitersubstrat 104 angeordnet sein. Zu derartigen Zwischenschichten können eine Zwischenschicht-Dielektrikum (ILD - Inter-Layer Dielectric) - Schicht, die ein Dielektrikum mit niedriger Dielektrizitätszahl umfasst und darin ausgebildete Kontaktanschlüsse aufweist, andere IMD-Schichten mit darin ausgebildeten leitfähigen Leitungen und/oder Durchkontaktierungen, eine oder mehrere Zwischenschichten (z.B. Ätzstoppschichten, Adhäsionsschichten usw.), Kombinationen davon und dergleichen zählen. Zum Beispiel kann eine optionale Ätzstoppschicht (nicht veranschaulicht) direkt unter der Zielschicht 102 angeordnet sein. Die Ätzstoppschicht kann als ein Stopp für einen Ätzprozess dienen, der anschließend auf der Zielschicht 102 durchgeführt wird. Das Material und der Prozess, die zum Bilden der Ätzstoppschicht eingesetzt werden, können vom Material der Zielschicht 102 abhängen. In einigen Ausführungsformen kann die Ätzstoppschicht aus Siliciumnitrid, SiON, SiCON, SiC, SiOC, SiCxNy, SiOx, anderen Dielektrika, Kombinationen davon oder dergleichen gebildet sein, und sie kann durch plasmaunterstützte chemische Gasphasenabscheidung (PECVD - Plasma Enhanced Chemical Vapor Deposition), Niederdruck-CVD (LPCVD - Low Pressure CVD), Plasma-Gasphasenabscheidung (PVD - Plasma Vapor Deposition) oder dergleichen gebildet werden.
  • Der Schichtstapel beinhaltet ferner eine Anti-Reflex-Beschichtung (ARC - Anti-Reflective Coating) 106, die über der Zielschicht 102 gebildet ist. Die ARC 106 hilft bei der Freilegung und Fokussierung darüberliegender Fotolackschichten (unten diskutiert) während der Strukturierung der Fotolackschichten. In einigen Ausführungsformen kann die ARC 106 aus SiON, Siliciumcarbid, mit Sauerstoff (O) und Stickstoff (N) dotierten Materialien oder dergleichen gebildet sein. In einigen Ausführungsformen ist die ARC 106 im Wesentlichen frei von Stickstoff und kann aus einem Oxid gebildet sein. In derartigen Ausführungsformen kann die ARC 106 auch als eine stickstofffreie ARC (NFARC - Nitrogen-Free ARC) bezeichnet werden. Die ARC 106 kann durch plasmaunterstützte chemische Gasphasenabscheidung (PECVD), Plasmaabscheidung mit hoher Plasmadichte (HDP - High-Density Plasma) oder dergleichen gebildet werden.
  • Der Schichtstapel beinhaltet ferner eine Hartmaskenschicht 108, die über der ARC 106 und der Zielschicht 102 gebildet ist. Die Hartmaskenschicht 108 kann aus einem Material gebildet sein, das ein Metall (z.B. Titannitrid, Titan, Tantalnitrid, Tantal, ein metalldotiertes Carbid (z.B. Wolframcarbid) oder dergleichen) und/oder ein Metalloid (z.B. Siliciumnitrid, Bornitrid, Siliciumcarbid oder dergleichen) umfasst, und sie kann durch PVD, Hochfrequenz-PVD (RFPVD - Radio Frequency PVD), Atomlagenabscheidung (ALD) oder dergleichen gebildet werden. In anschließenden Bearbeitungsschritten wird unter Verwendung eines Strukturierungsprozesses der Ausführungsform ein Muster auf der Hartmaskenschicht 108 gebildet. Die Hartmaskenschicht 108 wird dann als eine Ätzmaske für das Ätzen der Zielschicht 102 verwendet, wobei das Muster der Hartmaskenschicht 108 auf die Zielschicht 102 übertragen wird.
  • Der Schichtstapel beinhaltet ferner eine dielektrische Schicht 110, die über der Hartmaskenschicht 108 gebildet ist. Die dielektrische Schicht 110 kann aus einem Siliciumoxid, wie z.B. Borphosphosilicattetraethylorthosilicat (BPTEOS) oder undotiertem Tetraethylorthosilicat (TEOS) -Oxid gebildet sein, und sie kann durch CVD, ALD, Schleuderbeschichtung oder dergleichen gebildet werden. In einigen Ausführungsformen dient die dielektrische Schicht 110 als eine Ätzstoppschicht für die Strukturierung anschließend gebildeter Dorne und/oder Abstandshalter (z.B. die Dorne 124, siehe 4, und die Abstandshalter 127, siehe 13A). In einigen Ausführungsformen dient die dielektrische Schicht 110 auch als eine Anti-Reflex-Beschichtung.
  • Der Schichtstapel beinhaltet ferner eine Dornschicht 112, die über der ersten dielektrischen Hartmaskenschicht 108 gebildet ist. Die erste Dornschicht 112 kann aus einem Halbleiter, wie z.B. amorphem Silicium, Polysilicium, Siliciumnitrid, Siliciumoxid oder einem anderen Material, das eine hohe Ätzselektivität gegenüber der darunterliegenden Schicht, z.B. der dielektrischen Schicht 110, aufweist, gebildet sein.
  • Ein Dreischicht-Fotolack 120 ist auf dem Schichtstapel über der Dornschicht 112 gebildet. Der Dreischicht-Fotolack 120 beinhaltet eine untere Schicht 114, eine mittlere Schicht 116 über der unteren Schicht 114 und eine obere Schicht 118 über der mittleren Schicht 116. Die untere Schicht 114 und die obere Schicht 118 können aus Fotolacken (z.B. lichtempfindlichen Materialien) gebildet sein, zu welchen organische Materialien zählen. In einigen Ausführungsformen kann die untere Schicht 114 auch eine untere Anti-Reflex-Beschichtungs-(BARC - Bottom Anti-Reflective Coating) -Schicht sein. Die mittlere Schicht 116 kann ein anorganisches Material umfassen, bei welchem es sich um ein Nitrid (wie z.B. Siliciumnitrid), ein Oxinitrid (wie z.B. Siliciumoxinitrid), ein Oxid (wie z.B. Siliciumoxid) oder dergleichen handeln kann. Die mittlere Schicht 116 weist eine hohe Ätzselektivität in Bezug auf die obere Schicht 118 und die untere Schicht 114 auf. Die verschiedenen Schichten des Dreischicht-Fotolacks 120 können sequentiell umfassend abgeschieden sein, zum Beispiel unter Verwendung von Aufschleuderprozessen. Obwohl hierin ein Dreischicht-Fotolack 120 diskutiert ist, kann der Fotolack 120 in anderen Ausführungsformen auch ein Einzelschicht- oder ein Doppelschicht- (z.B. nur die untere Schicht 114 und die obere Schicht 118 ohne die mittlere Schicht 116 umfassend) Fotolack sein. Die Art des verwendeten Fotolacks (z.B. Einzelschicht, Doppelschicht oder Dreischicht) kann vom Photolithographie-Prozess, der zum Strukturieren der Dornschicht 112 verwendet wird, abhängen. Zum Beispiel kann in modernen Extrem-Ultraviolett- (EUV - Extreme Ultraviolet) Lithographie-Prozessen ein Einzelschicht- oder Doppelschicht-Fotolack 120 verwendet werden.
  • In einigen Ausführungsformen wird die obere Schicht 118 unter Verwendung eines photolithographischen Prozesses strukturiert. Anschließend wird die obere Schicht 118 als eine Ätzmaske für die Strukturierung der mittleren Schicht 116 verwendet (siehe 2). Die mittlere Schicht 116 wird dann als eine Ätzmaske für die Strukturierung der unteren Schicht 114 verwendet, und die untere Schicht 114 wird dann zum Strukturieren der Dornschicht 112 verwendet (siehe 3 und 4). Es wurde beobachtet, dass durch die Verwendung eines Dreischicht-Fotolacks (z.B. der Dreischicht-Fotolack 120) zum Ätzen einer Zielschicht (z.B. die Dornschicht 112), eine verbesserte Definition bei fein beabstandeten Mustern in der Zielschicht (z.B. die Dornschicht 112) erreicht werden kann.
  • Die obere Schicht 118 wird unter Verwendung jeglichen geeigneten Photolithographie-Prozesses zum Bilden der Öffnungen 122 darin strukturiert. Als ein Beispiel des Strukturierens der Öffnungen 122 in der oberen Schicht 118 kann eine Fotomaske (nicht gezeigt) über der oberen Schicht 118 angeordnet werden. Die obere Schicht 118 kann dann einem Strahlungsstrahl ausgesetzt werden, einschließlich eines Ultraviolett- (UV) oder eines Excimer-Lasers, wie z.B. ein 248 nm Strahl von einem Kryptonfluorid (KrF) -Excimer-Laser, ein 193 nm Strahl von einem Argonfluorid (ArF) -Excimer-Laser oder ein 157 nm Strahl von einem F2-Excimer-Laser oder dergleichen, während die Fotomaske Bereiche der oberen Schicht 118 maskiert. Eine Freilegung der oberen Fotolackschicht kann unter Verwendung eines Immersionslithographie-Systems erfolgen, um die Auflösung zu erhöhen und den erreichbaren Mindestabstand zu verringern. Eine Operation zum Härten oder Aushärten kann zum Härten der oberen Schicht 118 vorgenommen werden, und ein Entwickler kann zum Entfernen entweder der freigelegten oder nichtfreigelegten Abschnitte der oberen Schicht 118 verwendet werden, in Abhängigkeit davon, ob ein positiver oder negativer Stopplack verwendet wird. Die Öffnungen 122 können Streifenformen in einer Draufsicht (nicht veranschaulicht) aufweisen. Der Abstand P1 der Öffnungen 122 kann der unter Verwendung photolithographischer Prozesse allein erreichbare Mindestabstand sein. Zum Beispiel beträgt der Abstand P1 der Öffnungen 122 in einigen Ausführungsformen etwa 80 nm. Andere Abstände P1 der Öffnungen 122 werden auch in Betracht gezogen.
  • Nach der Strukturierung der oberen Schicht 118 wird das Muster der oberen Schicht 118 in einem Ätzprozess auf die mittlere Schicht 116 übertragen. Der Ätzprozess ist anisotrop, sodass sich die Öffnungen 122 in der oberen Schicht 118 dann auch durch die mittlere Schicht 116 erstrecken und in der mittleren Schicht 116 etwa die gleiche Größe wie in der oberen Schicht 118 aufweisen. Die resultierende Struktur ist in 2 veranschaulicht.
  • Wahlweise kann ein Beschnittprozess (nicht veranschaulicht) zum Vergrößern der Größe der Öffnungen 122 in der mittleren Schicht 116 durchgeführt werden. In einer Ausführungsform ist der Beschnittprozess ein anisotroper Plasmaätzprozess mit Prozessgasen, einschließlich O2, CO2, N2/H2, H2 oder dergleichen oder einer Kombination davon, oder jeglichen anderen Gasen, die für das Beschneiden der mittleren Schicht 116 geeignet sind. Das Beschneiden kann die Breite W1 der Öffnungen 122 erhöhen und die Breite W2 der Abschnitte der mittleren Schicht 116 zwischen den Öffnungen 122 verringern. Der Beschnittprozess kann durchgeführt werden, um ein gewünschtes Verhältnis der Breite W1 zur Breite W2 zu erreichen, sodass anschließend definierte Leitungen gleichmäßig beabstandet sind. In anderen Ausführungsformen wird die mittlere Schicht 116 zu Beginn derart strukturiert, dass sie ein gewünschtes Verhältnis der Breite W1 zur Breite W2 aufweist und der Beschnittprozess weggelassen werden kann.
  • In 3 wird ein Ätzprozess zum Übertragen des Musters der mittleren Schicht 116 auf die untere Schicht 114 durchgeführt, wodurch sich die Öffnungen 122 dann auch durch die untere Schicht 114 erstrecken. Der Ätzprozess der unteren Schicht 114 ist anisotrop, sodass sich die Öffnungen 122 in der mittleren Schicht 116 dann auch durch die untere Schicht 114 erstrecken und in der mittleren Schicht 116 etwa die gleiche Größe wie in der unteren Schicht 114 aufweisen. Als Teil des Ätzens der unteren Schicht 114 kann die obere Schicht 118 verbraucht werden (siehe 1 und 2).
  • In 4 wird das Muster der unteren Schicht 114 (siehe 3) unter Verwendung eines Ätzprozesses auf die Dornschicht 112 übertragen. Der Ätzprozess der Dornschicht 112 ist anisotrop, sodass sich die Öffnungen 122 in der unteren Schicht 114 dann auch durch die Dornschicht 112 erstrecken und in der Dornschicht 112 etwa die gleiche Größe wie in der unteren Schicht 114 aufweisen. So werden die Dorne 124 aus verbleibenden Abschnitten der Dornschicht 112 definiert (z.B. Abschnitte der Dornschicht 112 zwischen den Öffnungen 122). Die Dorne 124 weisen einen Abstand P1 (siehe auch 1) auf. In einigen Ausführungsformen ist der Abstand P1 ein unter Verwendung photolithographischer Prozesse erreichbarer Mindestabstand. Während des Ätzens der Dornschicht 112 wird die mittlere Schicht 116 verbraucht und die untere Schicht 114 kann zumindest teilweise verbraucht werden. In Ausführungsformen, in welchen die untere Schicht 114 während des Ätzens der Dornschicht 112 nicht komplett verbraucht wird, kann ein Veraschungsprozess zum Entfernen eines verbleibenden Restes der unteren Schicht 114 durchgeführt werden.
  • In 5 wird eine Abstandsschicht 126 über und entlang Seitenwänden der Dorne 124 gebildet. Die Abstandsschicht 126 kann sich ferner entlang oberer Oberflächen der dielektrischen Schicht 110 in den Öffnungen 122 erstrecken. Das Material der Abstandsschicht 126 ist derart ausgewählt, dass es eine hohe Ätzselektivität gegenüber der dielektrischen Schicht 110 und den Dornen 124 aufweist. Zum Beispiel kann die Abstandsschicht 126 AlO, AlN, AlON, TaN, TiN, TiO, Si, SiO, SiN, Metalle, Metalllegierungen und dergleichen umfassen, und sie kann unter Verwendung jeglichen geeigneten Prozesses, wie z.B. ALD, CVD oder dergleichen, abgeschieden werden. In einigen Ausführungsformen ist der Abscheidungsprozess der Abstandsschicht 126 gleichmäßig, sodass eine Dicke der Abstandsschicht 126 auf Seitenwänden der Dorne 124 im Wesentlichen gleich (z.B. innerhalb von Herstellungstoleranzen) einer Dicke der Abstandsschicht 126 auf der oberen Oberfläche der Dorne 124 und der Bodenflächen der Öffnungen 122 ist. In verschiedenen Ausführungsformen definieren Bereiche der Öffnungen 122 zwischen Seitenwänden der Abstandsschicht 126 Leitungsmuster. Die Leitungsmuster können gewünschten Positionen strukturierter Merkmale entsprechen (z.B. die leitfähigen Leitungen 142/144), die anschließend in der Zielschicht 102 gebildet werden (siehe z.B. 17A und 17B).
  • In 6A, 6B, 7A, 7B, 8A, 8B, 9A, 9B, 10A, 10B, 11A, 11B, 12A, 12B, 13A und 13B ist ein strukturiertes Opfermaterial (z.B. das Opfermaterial 138, siehe 11A und 11B) über ausgewählten Abschnitten der Abstandsschicht 126 gebildet. Insbesondere ist das strukturierte Opfermaterial in ausgewählten Bereichen der Leitungsmuster abgeschieden (Bereiche der Öffnungen 122 zwischen Seitenwänden der Abstandsschicht 126, siehe 5). Das strukturierte Opfermaterial kann zum weiteren Definieren eines gewünschten Musters zum Ätzen der Zielschicht 102 verwendet werden. Zum Beispiel kann das strukturierte Opfermaterial zum Definieren von Bereichen abgeschieden werden, in welchen keine strukturierten Merkmale (z.B. leitfähige Leitungen) in der Zielschicht 102 gebildet (z.B. geschnitten) werden (siehe z.B. 17A und 17B). In 6A, 6B, 7A, 7B, 8A, 8B, 9A, 9B, 10A, 10B, 11A, 11B, 12A, 12B, 13A und 13B veranschaulichen Figuren, die mit der Bezeichnung „A“ enden, durchweg eine gleiche Querschnittansicht wie 1 bis 5, während Figuren, die mit der Bezeichnung „B“ enden, durchweg eine Querschnittansicht entlang der Linie B-B einer entsprechenden 6A, 7A, 8A, 9A, 10A, 11A, 12A und 13A veranschaulichen. Zum Beispiel veranschaulicht 6B eine Querschnittansicht entlang der Linie B-B von 6A; 7B veranschaulicht eine Querschnittansicht entlang der Linie B-B von 7A; und so weiter.
  • Zunächst Bezug nehmend auf 6A und 6B, ist ein Dreischicht-Fotolack 134 über der Abstandsschicht 126 gebildet. Der Dreischicht-Fotolack 134 kann zwischen den Dornen 124 abgeschieden sein, wie z.B. zwischen Seitenwänden der Abstandsschicht 126 in den Leitungsmustern. Der Dreischicht-Fotolack 134 beinhaltet eine untere Schicht 128, eine mittlere Schicht 130 über der unteren Schicht 128 und eine obere Schicht 132 über der mittleren Schicht 130. Die untere Schicht 128 und die obere Schicht 132 können aus Fotolacken (z.B. lichtempfindliche Materialien) gebildet sein, zu welchen organische Materialien zählen. In einigen Ausführungsformen kann die untere Schicht 128 auch eine BARC-Schicht sein. Die mittlere Schicht 130 kann ein anorganisches Material umfassen, bei welchem es sich um ein Nitrid (wie z.B. Siliciumnitrid), ein Oxinitrid (wie z.B. Siliciumoxinitrid), ein Oxid (wie z.B. Siliciumoxid) oder dergleichen handeln kann. Die mittlere Schicht 130 weist eine hohe Ätzselektivität in Bezug auf die obere Schicht 132 und die untere Schicht 128 auf. Die verschiedenen Schichten des Dreischicht-Fotolacks 134 können sequentiell umfassend abgeschieden sein, zum Beispiel unter Verwendung eines Aufschleuderprozesses.
  • In einigen Ausführungsformen wird die obere Schicht 132 unter Verwendung eines photolithographischen Prozesses strukturiert und wird anschließend als eine Ätzmaske für die Strukturierung der mittleren Schicht 130 verwendet (siehe 7A und 7B). Die mittlere Schicht 130 wird dann als eine Ätzmaske für die Strukturierung der unteren Schicht 128 verwendet (siehe 7A und 7B). Die obere Schicht 132 wird unter Verwendung jeglichen geeigneten Photolithographie-Prozesses zum Bilden der Öffnungen 136 darin strukturiert. Als ein Beispiel des Strukturierens der Öffnungen 136 in der oberen Schicht 132 kann eine Fotomaske (nicht gezeigt) über der oberen Schicht 132 angeordnet werden. Die obere Schicht 132 kann dann einem Strahlungsstrahl ausgesetzt werden, einschließlich eines Ultraviolett-(UV) oder eines Excimer-Lasers, wie z.B. ein 248 nm Strahl von einem KrF-Excimer-Laser, ein 193 nm Strahl von einem ArF-Excimer-Laser oder ein 157 nm Strahl von einem F2-Excimer-Laser oder dergleichen, während die Fotomaske Bereiche der oberen Schicht 132 maskiert. Die Freilegung der oberen Fotolackschicht kann unter Verwendung eines Immersionslithographie-Systems erfolgen, um die Auflösung zu erhöhen und den erreichbaren Mindestabstand zu verringern. Eine Operation zum Härten oder Aushärten kann zum Härten der oberen Schicht 132 vorgenommen werden, und ein Entwickler kann zum Entfernen entweder der freigelegten oder nichtfreigelegten Abschnitte der oberen Schicht 132 verwendet werden, in Abhängigkeit davon, ob ein positiver oder negativer Stopplack verwendet wird. Das Muster der Öffnungen 136 kann einem gewünschten Muster eines anschließend gebildeten Opfermaterials entsprechen. Zum Beispiel kann der Dreischicht-Fotolack 134 zum Definieren einer Form des anschließend gebildeten Opfermaterials verwendet werden (z.B. das Opfermaterial 138, siehe 9A und 9B).
  • Nach der Strukturierung der oberen Schicht 132 wird das Muster der oberen Schicht 132 in einem Ätzprozess auf die mittlere Schicht 130 übertragen. Der Ätzprozess ist anisotrop, sodass sich die Öffnungen 136 in der oberen Schicht 132 dann auch durch die mittlere Schicht 130 erstrecken und in der mittleren Schicht 130 etwa die gleiche Größe wie in der oberen Schicht 132 aufweisen. Die resultierende Struktur ist in 7A und 7B veranschaulicht.
  • In 7A und 7B erfolgt, nach der Strukturierung der mittleren Schicht 130, ein Ätzprozess zum Übertragen des Musters der mittleren Schicht 130 auf die untere Schicht 128, wodurch sich die Öffnungen 136 dann auch durch die untere Schicht 128 erstrecken. Als Teil des Ätzens der unteren Schicht 128 kann die obere Schicht 132 (siehe 6A und 6B) verbraucht werden.
  • In 8A und 8B ist ein Opfermaterial 138 (gelegentlich auch als ein Umkehrmaterial bezeichnet) über der unteren Schicht 128 und in die Öffnungen 136 abgeschieden. In einigen Ausführungsformen ist das Opfermaterial 138 auch über der mittleren Schicht 130 abgeschieden. In anderen Ausführungsformen (nicht veranschaulicht) wird die mittlere Schicht 130 vor dem Abscheiden des Opfermaterials 138 entfernt. Das Entfernen der mittleren Schicht 130 kann einen geeigneten Trockenätzprozess oder eine Kombination aus Trocken- und Nassätzprozessen beinhalten. In derartigen Ausführungsformen kann das Opfermaterial 138 direkt auf (z.B. in direktem Kontakt mit) einer obersten Oberfläche der unteren Schicht 128 gebildet sein.
  • In verschiedenen Ausführungsformen umfasst das Opfermaterial 138 ein anorganisches Material. Zum Beispiel kann das Opfermaterial 138 ein anorganisches Oxid sein, wie z.B. Titanoxid, Tantaloxid, Siliciumoxid und dergleichen. In einigen Ausführungsformen ist das anorganische Material ein Niedrigtemperaturoxid (LTO - Low Temperature Oxide). Wie hierin verwendet, bezieht sich der Begriff „LTO“ auf ein Oxid, das unter Verwendung einer relativ niedrigen Prozesstemperatur (z.B. 200 °C oder weniger) abgeschieden wird. Es wurde beobachtet, dass in derartigen Ausführungsformen der Niedrigtemperatur-Abscheidungsprozess keine signifikante Beschädigung der unteren Schicht 128 verursacht. Das Opfermaterial 138 kann derart ausgewählt sein, dass es eine ausreichende Ätzselektivität gegenüber der Abstandsschicht 126 in Bezug auf einen gleichen Ätzprozess aufweist. Zum Beispiel beträgt in einigen Ausführungsformen ein Verhältnis einer Ätzrate des Opfermaterials 138 zu einer Ätzrate der Abstandsschicht 126 in Bezug auf einen gleichen Ätzprozess mindestens 0,7. Es wurde beobachtet, dass, wenn das Verhältnis der Ätzrate des Opfermaterials 138 zu der Ätzrate der Abstandsschicht 126 in Bezug auf einen gleichen Ätzprozess innerhalb des obigen Bereichs liegt, eine erhöhte Zuverlässigkeit im Strukturierungsprozess erreicht werden kann.
  • Das Opfermaterial 138 kann unter Verwendung eines Halbleiterschicht-Abscheidungsprozesses, wie z.B. CVD, PVD, ALD oder dergleichen, gebildet werden. Der Halbleiterschicht-Abscheidungsprozess kann ein gleichmäßiger Prozess sein, der auf Seitenwänden und einer Bodenfläche der Öffnungen 136 erfolgt. Bei fortgesetzter Abscheidung können Abschnitte des Opfermaterials 138 auf gegenüberliegenden Seitenwänden der Öffnungen 136 verschmelzen, wodurch die Öffnungen 136 gefüllt werden. Aufgrund des Halbleiterschicht-Abscheidungsprozesses ist eine obere Oberfläche des Opfermaterials 138 möglicherweise nicht eben. Zum Beispiel können die Ausnehmungen 138a in der oberen Oberfläche des Opfermaterials 138 in und/oder über den Öffnungen 136 vorliegen.
  • Im Vergleich zu Aufschleuderprozessen gestatten es Halbleiterschicht-Abscheidungsprozesse, dass das Opfermaterial 138 mit verbesserter Spaltenfüllung und weniger Defekten (z.B. weniger Blasenbildung im Opfermaterial 138) in die Öffnungen 136 gefüllt wird. In Ausführungsformen, in welchen die mittlere Schicht 130 vor der Abscheidung des Opfermaterials 138 entfernt wird, kann die Verringerung von Defekten besonders ausgeprägt sein. Ohne an eine bestimmte Theorie gebunden zu sein, kann diese weitere Reduzierung von Defekten das Ergebnis dessen sein, dass die untere Schicht 128 eine bessere Oberfläche (z.B. mit weniger Knoten) im Vergleich zur mittleren Schicht 130 für die Abscheidung des Opfermaterials 138 bereitstellt.
  • Als nächstes erfolgt in 9A und 9B ein Planarisierungsprozess (z.B. chemisch-mechanisches Polieren (CMP - Chemical Mechanical Polish), Trockenätzen, Kombinationen davon oder dergleichen) zum Entfernen überschüssiger Abschnitte des Opfermaterials 138 außerhalb der Öffnungen 136. In Ausführungsformen, in welchen das Opfermaterial 138 über der mittleren Schicht 130 gebildet wird, kann der Planarisierungsprozess auch die mittlere Schicht 130 entfernen. Nach dem Planarisierungsprozess liegt die untere Schicht 128 frei, und obere Oberflächen des Opfermaterials 138 und der unteren Schicht 128 können komplanar sein. In einigen Ausführungsformen kann der Planarisierungsprozess auch die Ausnehmungen 138a (siehe 8A und 8B), die im Opfermaterial 138 gebildet wurden, entfernen.
  • In 10A und 10B wird die untere Schicht 128 unter Verwendung eines Veraschungsprozesses entfernt. Nachdem die untere Schicht 128 entfernt wurde, bleiben Säulen des Opfermaterials 138 bestehen. Das verbleibende Opfermaterial 138 maskiert ausgewählte Bereiche der Abstandsschicht 126. In einigen Ausführungsformen kann sich das Opfermaterial 138 von einem ersten Seitenwandabschnitt der Abstandsschicht 126 auf einem ersten Dorn 124 bis hin zu einem zweiten Seitenwandabschnitt der Abstandsschicht 126 auf einem zweiten Dorn 124 erstrecken.
  • In 11A und 11B wird das Opfermaterial 138 beschnitten, um ein gewünschtes Profil zu erhalten. In einigen Ausführungsformen vertieft das Beschneiden des Opfermaterials 138 das Opfermaterial 138 unter eine oberste Oberfläche der Abstandsschicht 126, wie z.B. unter eine obere Oberfläche der Dorne 124. Das Beschneiden des Opfermaterials 138 kann Abschnitte der Abstandsschicht 126 über den Dornen 124 freilegen (siehe 11A). In einigen Ausführungsformen verringert das Beschneiden des Opfermaterials 138 ferner eine Breite des Opfermaterials 138 zumindest im Querschnitt B-B (siehe 11B).
  • Das Beschneiden des Opfermaterials 138 kann einen Trockenätzprozess oder eine Kombination aus Trocken- und Nassätzprozessen beinhalten. Trockenätzprozesse der Ausführungsform zum Beschneiden des Opfermaterials 138 können das Verwenden von Fluorkohlenstoff-basierten Ätzmitteln (z.B. CF4) umfassen. Andere Prozessgase, wie z.B. Sauerstoff (O2), Stickstoff (N2), Argon (Ar), Kombinationen davon oder dergleichen, können in Kombination mit den Fluorkohlenstoff-basierten Ätzmitteln zum Einsatz kommen. Nassätzprozesse der Ausführungsform zum Beschneiden des Opfermaterials 138 können das Verwenden verdünnter Flusssäure als ein Ätzmittel umfassen. Eine gewünschte Form des Opfermaterials 138 kann zum Beispiel durch das Steuern der Dauer des Ätz-Beschnittprozesses erzielt werden.
  • Anschließend erfolgt ein Ätzprozess zum Entfernen freiliegender, horizontaler Abschnitte der Abstandsschicht 126 zum Freilegen der Dorne 124. Die vertikalen Abschnitte der Abstandsschicht 126 bleiben nach den Ätzen bestehen und werden im Folgenden als die Abstandshalter 127 bezeichnet. Ferner können nach dem Ätzen auch maskierte Abschnitte der Abstandsschicht 126 (z.B. Bereiche, die durch das Opfermaterial 138 maskiert werden) bestehen bleiben. In einigen Ausführungsformen ist das Ätzen der Abstandsschicht 126 ein Trockenätzprozess unter Verwendung eines geeigneten Ätzmittels, wie z.B. CH4, Cl2, Kombinationen davon und dergleichen. Andere Prozessgase, z.B. Stickstoff (N2), können während des Trockenätzprozesses in Kombination mit dem Ätzmittel zum Einsatz kommen. Der Trockenätzprozess kann anisotrop sein und freiliegende, seitliche Abschnitte der Abstandsschicht 126 wegätzen, ohne vertikale Abschnitte der Abstandsschicht 126 (die Abstandshalter 127) oder das Opfermaterial 138 signifikant zu entfernen. Die resultierende Struktur ist in 12A und 12B veranschaulicht.
  • In 13A und 13B werden die Dorne 124 unter Verwendung eines Ätzprozesses entfernt. Weil die Dorne 124, die Abstandsschicht 126 und das Opfermaterial 138 Ätzselektivität in Bezug auf einen gleichen Ätzprozess aufweisen, können die Dorne 124 entfernt werden, ohne die Abstandshalter 127 oder das Opfermaterial 138 zu entfernen. Das Ätzen der Dorne 124 legt die darunterliegende dielektrische Schicht 110 frei, welche als eine Ätzstoppschicht dienen kann.
  • Obwohl 13A und 13B veranschaulichen, dass sämtliche Dorne 124 entfernt werden, ziehen verschiedene Ausführungsformen auch die selektive Entfernung der Dorne 124 in Betracht. Zum Beispiel werden in einem bestimmten Bereich (z.B. in Abhängigkeit vom Bauelementlayout) eines Wafers, auf welchem die Zielschicht 102 gebildet ist, die Dorne 124 möglicherweise nicht gebildet und/oder entfernt. Zum Erreichen der selektiven Entfernung der Dorne 124 kann ein Fotolack (nicht explizit veranschaulicht) über den Dornen 124, den Abstandshaltern 127 und dem Opfermaterial 138 abgeschieden werden. Der Fotolack kann ähnlich dem Fotolack 120 sein, siehe 1-3, und Öffnungen in dem Fotolack können Bereiche freilegen, in welchen die Dorne 124 entfernt werden, während andere Bereiche der Dorne 124 gegen eine Entfernung maskiert werden. Anschließend kann der Fotolack unter Verwendung eines Veraschungsschrittes entfernt werden.
  • Nachdem einige oder alle der Dorne 124 entfernt wurden, können die Abstandshalter 127 einen Abstand P2 aufweisen. In Ausführungsformen, in welchen ein SADP-Prozess, wie oben beschrieben, zum Einsatz kommt, ist der Abstand P2 die Hälfte eines durch photolithographische Prozesse erreichbaren Mindestabstands. Die Kombination der Abstandshalter 127 und des Opfermaterials 138 definiert ein Muster für die Hartmaskenschicht 108. 14 veranschaulicht eine Draufsicht eines Musters, das durch die Abstandshalter 127 und das Opfermaterial 138 definiert wird. 13A veranschaulicht eine Querschnittansicht entlang der Linie A-A von 14, während 13B eine Querschnittansicht entlang der Linie B-B von 14 veranschaulicht. In einigen Ausführungsformen ist das Muster, das durch die Abstandshalter 127 und das Opfermaterial 138 definiert wird, ein Negativ anschließend gebildeter leitfähiger Leitungen. Zum Beispiel entspricht der Raum rund um das Muster, das durch die Abstandshalter 127 und das Opfermaterial 138 definiert wird, einem Muster anschließend gebildeter leitfähiger Leitungen. Wie durch 14 veranschaulicht, erstreckt sich das Opfermaterial 138 über einen Raum zwischen benachbarten der Abstandshalter 127, und das Opfermaterial 138 definiert Bereiche, in welchen das negative Muster geschnitten wird. Zum Beispiel kann das Opfermaterial 138 Bereiche zwischen anschließend gebildeten leitfähigen Leitungen definieren.
  • 15A, 15B, 16A, 16B, 17A und 17B veranschaulichen Querschnittansichten der Strukturierung und Abscheidung von Merkmalen in der Zielschicht 102 basierend auf dem Muster, das durch die Abstandshalter 127 und das Opfermaterial 138 definiert wird. In den Ausführungsformen von 15A, 15B, 16A, 16B, 17A und 17B ist die Zielschicht 102 eine dielektrische Schicht, und strukturierte leitfähige Merkmale werden in der dielektrischen Schicht gebildet. Figuren, die mit der Bezeichnung „A“ enden, beziehen sich auf eine Querschnittansicht entlang der Linie A-A von 14, während sich Figuren, die mit der Bezeichnung „B“ enden, auf eine Querschnittansicht entlang der Linie B-B von 14 beziehen.
  • Zunächst Bezug nehmend auf 15A und 15B, werden die dielektrische Schicht 110 (siehe 13A und 13B) und die Hartmaskenschicht 108 sequentiell unter Verwendung der Abstandshalter 127 und des Opfermaterials 138 als eine Ätzmaske geätzt. Jegliche nicht entfernten Dorne 124 (nicht veranschaulicht) können auch als eine Ätzmaske zum Strukturieren der Hartmaskenschicht 108 verwendet werden. Somit kann die Hartmaske 108 in einer Draufsicht ein gleiches Muster wie die Abstandshalter 127 und das Opfermaterial 138 aufweisen (siehe z.B. 14). In einigen Ausführungsformen umfasst das Ätzen der Hartmaskenschicht 108 ein anisotropes Trockenätzen und/oder Nassätzen. Nachdem die Hartmaskenschicht 108 strukturiert wurde, kann eine Nassreinigung zum Entfernen jeglicher verbleibender Abschnitte der Abstandshalter 127, des Opfermaterials 138 und der dielektrischen Schicht 110 durchgeführt werden.
  • Anschließend wird die Hartmaskenschicht 108 in 16A und 16B als eine Ätzmaske zum Strukturieren der Öffnungen 140 in der Zielschicht 102 verwendet. Das Ätzen der Zielschicht 102 kann einen anisotropen Trockenätzprozess und/oder einen Nassätzprozess umfassen, welcher sequentiell durch die ARC 106 zu der Zielschicht 102 ätzt. Verbleibende Abschnitte der Zielschicht 102 können ein gleiches Muster wie die Abstandshalter 127 und das Opfermaterial 138 von 14 aufweisen. Nachdem die Öffnungen 140 strukturiert wurden, kann eine Nassreinigung zum Entfernen jeglicher verbleibender Abschnitte der Hartmaskenschicht 108 und der ARC 106 durchgeführt werden.
  • Nachdem die Öffnungen 140 in der Zielschicht 102 strukturiert wurden, können Merkmale in den Öffnungen gebildet werden. In einer Ausführungsform ist die Zielschicht 102 eine dielektrische Schicht mit niedriger Dielektrizitätszahl, und die strukturierte Zielschicht 102 stellt ein IMD für eine Verbindungsstruktur bereit. Leitfähige Merkmale, wie z.B. Kupferleitungen, Kupfer-Durchkontaktierungen und/oder Cobalt-Anschlüsse, können in der IMD-Schicht gebildet werden, wie durch 17A und 17B veranschaulicht. Das Bilden der leitfähigen Merkmale kann das Abscheiden einer oder mehrerer Auskleidungen 142 entlang Seitenwänden und einer Bodenfläche der Öffnungen 140 beinhalten (siehe 16A und 16B). Die Auskleidungen 142 können TiO, TiN, TaO, TaN oder dergleichen umfassen und können Diffusionsbarriere-, Adhäsions- und/oder Saatschichten für das leitfähige Merkmal bereitstellen. Die Auskleidungen können unter Verwendung jeglichen geeigneten Prozesses, wie z.B. PVD, CVD, ALD und dergleichen, abgeschieden werden.
  • Nachdem die Auskleidungen 142 abgeschieden wurden, können verbleibende Abschnitte der Öffnung mit einem leitfähigen Material 144, wie z.B. Kupfer, unter Verwendung von z.B. PVD, Plattierung oder dergleichen gefüllt werden. Das leitfähige Material 144 kann zunächst derart abgeschieden werden, dass es die Öffnungen 140 überfüllt (siehe 16A und 16B), und ein Planarisierungsprozess wird zum Entfernen überschüssiger Abschnitte des leitfähigen Materials 144 über der Zielschicht 102 durchgeführt. So können leitfähige Merkmale in der Zielschicht 102 gebildet werden. In Ausführungsformen, in welchen die leitfähigen Merkmale in der Zielschicht 102 leitfähige Leitungen sind, definiert das Opfermaterial 138 (siehe 14) Bereiche, in welchen die leitfähigen Leitungen geschnitten werden. Durch den Einsatz der hierin diskutierten Ausführungsformen können leitfähige Leitungen mit einem Zwischenraum von 40 nm oder weniger oder sogar 30 nm oder weniger zuverlässig mit weniger Herstellungsdefekten und einem erhöhten Ertrag gebildet werden.
  • 18A, 18B, 19A, 19B, 20A und 20B veranschaulichen Querschnittansichten der Strukturierung der Zielschicht 102 basierend auf dem Muster, das durch die Abstandshalter 127 und das Opfermaterial 138 definiert wird, gemäß anderer Ausführungsformen. In den Ausführungsformen von 18A, 18B, 19A, 19B, 20A und 20B ist die Zielschicht 102 eine Halbleiterschicht oder eine leitfähige Schicht, und die Zielschicht wird strukturiert, um Merkmale (z.B. Rippen und/oder Gates) zu definieren. Figuren, die mit der Bezeichnung „A“ enden, beziehen sich auf eine Querschnittansicht entlang der Linie A-A von 14, während sich Figuren, die mit der Bezeichnung „B“ enden, auf eine Querschnittansicht entlang der Linie B-B von 14 beziehen.
  • 18A und 18B veranschaulichen das Bauelement nachdem die Hartmaskenschicht 108 gemäß Strukturierungsschritten der Ausführungsform strukturiert wurde. Die verschiedenen Bearbeitungsschritte vor 18A sind die gleichen wie oben in Bezug auf 1 bis 15B diskutiert und sind hier der Kürze halber weggelassen.
  • Wie weiter durch 18A und 18B veranschaulicht, kann eine zusätzliche Hartmaskenschicht 180 abgeschieden werden, nachdem die Hartmaskenschicht 108 strukturiert wurde. Die Hartmaskenschicht 180 kann in Öffnungen abgeschieden werden, die sich durch die Hartmaskenschicht 108 erstrecken, sodass die Hartmaskenschicht 180 die Hartmaskenschicht 108 umgibt. Die Hartmaskenschicht 180 umfasst ein Metall (z.B. Titannitrid, Titanoxid, Titan, Tantalnitrid, Tantaloxid, Tantal, ein metalldotiertes Carbid (z.B. Wolframcarbid) oder dergleichen) und/oder ein Metalloid (z.B. Siliciumnitrid, Siliciumoxid, Bornitrid, Siliciumcarbid oder dergleichen), und sie kann durch PVD, Hochfrequenz-PVD (RFPVD), Atomlagenabscheidung (ALD) oder dergleichen gebildet werden. Jedoch unterscheidet sich das Material der Hartmaskenschicht 180 und der Hartmaskenschicht 108 derart, dass das Material der Hartmaskenschicht 180 Ätzselektivität gegenüber dem Material der Hartmaskenschicht 108 in Bezug auf einen gleichen Ätzprozess aufweist. Nachdem die Hartmaskenschicht 180 abgeschieden wurde, kann ein Planarisierungsprozess (z.B. ein CMP- oder Trockenätzprozess) zum Freilegen der Hartmaskenschicht 108 durchgeführt werden.
  • In 19A und 19B wird die Hartmaskenschicht 108 unter Verwendung eines geeigneten Trocken- und/oder Nassprozesses entfernt. Weil sich die Materialien der Hartmaskenschicht 108 und der Hartmaskenschicht 180 unterscheiden und Ätzselektivität aufweisen, kann die Hartmaskenschicht 108 entfernt werden, ohne die Hartmaskenschicht 180 signifikant zu entfernen. Somit wird eine Hartmaskenschicht 180 mit einem negativen (z.B. umgekehrten) Muster zu der Hartmaskenschicht 108 bereitgestellt.
  • Anschließend wird die Hartmaskenschicht 180 in 20A und 20B als eine Ätzmaske zum Strukturieren der Zielschicht 102 verwendet. Das Ätzen der Zielschicht 102 kann einen anisotropen Trockenätzprozess und/oder einen Nassätzprozess umfassen, welcher sequentiell durch die ARC 106 zu der Zielschicht 102 ätzt. Verbleibende Abschnitte der Zielschicht 102 können ein negatives Muster zu den Abstandshaltern 127 und dem Opfermaterial 138 von 14 aufweisen. Nachdem die Zielschicht 102 strukturiert wurde, kann eine Nassreinigung zum Entfernen jeglicher verbleibender Abschnitte der Hartmaskenschicht 180 und der ARC 106 durchgeführt werden. So können Rippen- und/oder Gate-Strukturen in der Zielschicht 102 definiert werden, wenn die Zielschicht 102 eine Halbleiterschicht oder eine leitfähige Schicht ist.
  • 21A, 21B, 22A, 22B, 23A, 23B, 24A, 24B, 25A, 25B, 26A, 26B, 27A, 27B, 28A, 28B, 29A, 29B und 30 veranschaulichen Querschnittansichten verschiedener Zwischenstufen der Herstellung eines Halbleiterbauelementes 200 gemäß einiger Ausführungsformen. Das Halbleiterbauelement 200 kann ähnlich dem Halbleiterbauelement 100 sein, wobei gleiche Referenzziffern gleiche Elemente angeben, die unter Verwendung gleicher Prozesse gebildet werden. In 21A, 21B, 22A, 22B, 23A, 23B, 24A, 24B, 25A, 25B, 26A, 26B, 27A, 27B, 28A, 28B, 29A und 29B veranschaulichen Figuren, die mit der Bezeichnung „A“ enden, durchweg eine gleiche Querschnittansicht, während Figuren, die mit der Bezeichnung „B“ enden, durchweg eine Querschnittansicht entlang Linie der B-B einer entsprechenden 21A, 22A, 23A, 24A, 25A, 26A, 27A, 28A und 29A veranschaulichen. Zum Beispiel veranschaulicht 21B eine Querschnittansicht entlang der Linie B-B von 21A; 22B veranschaulicht eine Querschnittansicht entlang der Linie B-B von 22A; und so weiter.
  • In 21A und 21B ist ein Opfermaterial 138 (gelegentlich auch als ein Umkehrmaterial bezeichnet) über der unteren Schicht 128 und in die Öffnungen 136 abgeschieden. Die Prozessschritte zum Bilden von Merkmalen des Halbleiterbauelementes 200 vor 21A und 21B können im Wesentlichen die gleichen sein, wie die oben in Bezug auf 1 bis 7B beschriebenen Prozesse, und somit wird eine zusätzliche Beschreibung dieser Prozesse der Kürze halber weggelassen. In einigen Ausführungsformen ist das Opfermaterial 138 auch über der mittleren Schicht 130 abgeschieden. In anderen Ausführungsformen (nicht veranschaulicht) wird die mittlere Schicht 130 vor der Abscheidung des Opfermaterials 138 entfernt. Das Entfernen der mittleren Schicht 130 kann einen geeigneten Trockenätzprozess oder eine Kombination aus Trocken- und Nassätzprozessen beinhalten. In derartigen Ausführungsformen kann das Opfermaterial 138 direkt auf (z.B. in direktem Kontakt mit) einer obersten Oberfläche der unteren Schicht 128 gebildet sein.
  • In verschiedenen Ausführungsformen umfasst das Opfermaterial 138 ein anorganisches Material, wie z.B. Titanoxid, Tantaloxid, Siliciumoxid und dergleichen. In einigen Ausführungsformen ist das anorganische Material ein Niedrigtemperaturoxid (LTO), welches unter Verwendung einer relativ niedrigen Prozesstemperatur (z.B. 200 °C oder weniger) abgeschieden wird. In derartigen Ausführungsformen verursacht der Niedrigtemperatur-Abscheidungsprozess keine signifikante Schädigung der unteren Schicht 128. Das Opfermaterial 138 kann derart ausgewählt werden, dass es ausreichend Ätzselektivität gegenüber der Abstandsschicht 126 in Bezug auf einen gleichen Ätzprozess aufweist. Zum Beispiel beträgt in einigen Ausführungsformen ein Verhältnis einer Ätzrate des Opfermaterials 138 zu einer Ätzrate der Abstandsschicht 126 in Bezug auf einen gleichen Ätzprozess mindestens 0,7.
  • Das Opfermaterial 138 kann unter Verwendung eines Halbleiterschicht-Abscheidungsprozesses, wie z.B. CVD, PVD, ALD oder dergleichen, gebildet werden. Der Halbleiterschicht-Abscheidungsprozess kann ein gleichmäßiger Prozess sein, welcher auf Seitenwänden und einer Bodenfläche der Öffnungen 136 erfolgt. Mit fortgesetzter Abscheidung können Abschnitte des Opfermaterials 138 auf gegenüberliegenden Seitenwänden der Öffnungen 136 verschmelzen, wodurch die Öffnungen 136 gefüllt werden. Aufgrund des Halbleiterschicht-Abscheidungsprozesses ist eine obere Oberfläche des Opfermaterials 138 möglicherweise nicht eben. Zum Beispiel können die Ausnehmungen 138b in der oberen Oberfläche des Opfermaterials 138 in und/oder über den Öffnungen 136 vorliegen. Im Vergleich zu den Ausnehmungen 138a im Halbleiterbauelement 100 (siehe 8A und 8B), können die Ausnehmungen 138b größer sein. Zum Beispiel können sich die Ausnehmungen 138b bis unter eine obere Oberfläche der mittleren Schicht 130 (falls vorhanden) und/oder unter eine obere Oberfläche der unteren Schicht 128 erstrecken.
  • Größere Ausnehmungen 138b können gebildet werden, indem Parameter des Abscheidungsprozesses für die Abscheidung des Opfermaterials 138 gesteuert werden. Zum Beispiel kann die Größe der Ausnehmungen 138b gesteuert werden, indem eine angemessene Dicke für das Opfermaterial 138 gegenüber einer Breite W3 der Öffnungen 136 ausgewählt wird. Im Allgemeinen beträgt ein Verhältnis der Dicke T1 des Opfermaterials 138 zu einer Breite W3 einer Öffnung 136 mindestens 0,5, um eine ausreichende Spaltenfüllung des Opfermaterials 138 in der Öffnung 136 zu gestatten. Ferner können durch eine Erhöhung dieses Verhältnisses der Dicke T1 zur Breite W3 die Ausnehmungen 138b (oder die Ausnehmungen 138a von 8A und 8B) kleiner werden (z.B. flacher und sich weniger tief in die Öffnungen 136 erstreckend). Im Gegensatz dazu können durch eine Verringerung dieses Verhältnisses der Dicke T1 zur Breite W3 die Ausnehmungen 138b (oder die Ausnehmungen 138A von 8A und 8B) größer werden (z.B. tiefer und weiter in die Öffnungen 136 reichend). Als solche kann eine Größe der Ausnehmungen im Opfermaterial 138 durch Steuerung eines Verhältnisses der Dicke T1 zur Breite W3 gesteuert werden.
  • Als nächstes wird in 22A und 22B ein Planarisierungsprozess (z.B. ein CMP, Trockenätzen oder dergleichen) zum Entfernen überschüssiger Abschnitte des Opfermaterials 138 außerhalb der Öffnungen 136 durchgeführt. In Ausführungsformen, in welchen das Opfermaterial 138 über der mittleren Schicht 130 gebildet ist, kann der Planarisierungsprozess auch die mittlere Schicht 130 entfernen. Nach dem Planarisierungsprozess liegt die untere Schicht 128 frei, und obere Oberflächen des Opfermaterials 138 und der unteren Schicht 128 können komplanar sein. Weil die Ausnehmungen 138b relativ groß sind, entfernt der Planarisierungsprozess die Ausnehmungen 138b im Opfermaterial 138 möglicherweise nicht. Wie in 21A und 21B veranschaulicht, können die Ausnehmungen 138b auch nach dem Planarisierungsprozess bestehen bleiben.
  • In 23A und 23B wird die untere Schicht 128 unter Verwendung eines Veraschungsprozesses entfernt. Nachdem die untere Schicht 128 entfernt wurde, bleiben Säulen des Opfermaterials 138 bestehen. Das verbleibende Opfermaterial 138 maskiert ausgewählte Bereiche der Abstandsschicht 126. In einigen Ausführungsformen kann sich das Opfermaterial 138 von einem ersten Seitenwandabschnitt der Abstandsschicht 126 auf einem ersten Dorn 124 hin zu einem zweiten Seitenwandabschnitt der Abstandsschicht 126 auf einem zweiten Dorn 124 erstrecken.
  • In 24A und 24B wird das Opfermaterial 138 beschnitten, um ein gewünschtes Profil zu erreichen. In einigen Ausführungsformen vertieft das Beschneiden des Opfermaterials 138 das Opfermaterial 138 unter eine oberste Oberfläche der Abstandsschicht 126, wie z.B. unter eine obere Oberfläche der Dorne 124. Das Beschneiden des Opfermaterials 138 kann Abschnitte der Abstandsschicht 126 über den Dornen 124 freilegen (siehe 24A). In einigen Ausführungsformen verringert das Beschneiden des Opfermaterials 138 ferner eine Breite des Opfermaterials 138 zumindest im Querschnitt B-B (siehe 24B). Der Beschnittprozess kann die Form der Ausnehmungen 138b in der beschnittenen Struktur propagieren. Zum Beispiel bleiben die Ausnehmungen 138b im Opfermaterial 138 auch nach dem Beschneiden bestehen. In einigen Ausführungsformen kann der Beschnittprozess die Ausnehmungen 138b in dem beschnittenen Opfermaterial 138 sogar vergrößern. Das Beschneiden des Opfermaterials 138 kann einen Trockenätzprozess oder eine Kombination aus Trocken- und Nassätzprozessen beinhalten, wie oben in Bezug auf 11A und 11B beschrieben.
  • Anschließend wird ein Ätzprozess zum Entfernen freiliegender, horizontaler Abschnitte der Abstandsschicht 126 durchgeführt, um die Dorne 124 freizulegen. Die vertikalen Abschnitte der Abstandsschicht 126 bleiben nach dem Ätzen bestehen, um die Abstandshalter 127 bereitzustellen. Ferner können maskierte Abschnitte der Abstandsschicht 126 (z.B. Bereiche, die durch das Opfermaterial 138 maskiert sind) auch nach dem Ätzen bestehen bleiben. Jedoch werden, aufgrund des Vorliegens der Ausnehmungen 138b im Opfermaterial 138, Abschnitte der Abstandsschicht 126, die unter den Ausnehmungen 138b liegen, während des Abstandshalter-Ätzprozesses möglicherweise nicht ausreichend maskiert. Aufgrund dessen können Abschnitte der Abstandsschicht 126 unter den Ausnehmungen 138b während des Abstandshalter-Ätzprozesses geätzt werden. In einigen Ausführungsformen umfasst das Ätzen der Abstandsschicht 126 einen Trockenätzprozess, wie oben in Bezug auf 12A und 12B beschrieben. Die resultierende Struktur ist in 25A und 25B veranschaulicht.
  • In 26A und 26B werden die Dorne 124 unter Verwendung eines Ätzprozesses entfernt. Weil die Dorne 124, die Abstandsschicht 126 und das Opfermaterial 138 Ätzselektivität in Bezug auf einen gleichen Ätzprozess aufweisen, können die Dorne 124 entfernt werden, ohne die Abstandshalter 127 oder das Opfermaterial 138 zu entfernen. Das Ätzen der Dorne 124 legt die darunterliegende dielektrische Schicht 110 frei, welche als eine Ätzstoppschicht dienen kann. Nachdem die Dorne 124 entfernt wurden, weisen die Abstandshalter 127 einen Abstand P2 auf. In Ausführungsformen, in welchen ein SADP-Prozess, wie oben beschrieben, eingesetzt wird, beträgt der Abstand P2 eine Hälfte eines durch photolithographische Prozesse erreichbaren Mindestabstands. Die Kombination der Abstandshalter 127 und des Opfermaterials 138 definiert ein Muster für die Hartmaskenschicht 108.
  • Obwohl 26A und 26B sämtliche der Dorne 124 entfernt veranschaulichen, ziehen verschiedene Ausführungsformen auch die selektive Entfernung der Dorne 124 in Betracht. Zum Beispiel werden, in einem bestimmten Bereich (z.B. in Abhängigkeit vom Bauelementlayout) eines Wafers, auf welchem die Zielschicht 102 gebildet ist, die Dorne 124 möglicherweise nicht gebildet und/oder entfernt. Um die selektive Entfernung der Dorne 124 zu erreichen, kann ein Fotolack (nicht explizit veranschaulicht) über den Dornen 124, den Abstandshaltern 127 und dem Opfermaterial 138 abgeschieden werden. Der Fotolack kann ähnlich dem Fotolack 120 sein, siehe 1-3, und die Öffnungen im Fotolack können Bereiche freilegen, in welchen die Dorne 124 entfernt werden, während andere Bereiche der Dorne 124 gegen die Entfernung maskiert werden. Anschließend kann der Fotolack unter Verwendung eines Veraschungsschrittes entfernt werden.
  • Zunächst Bezug nehmend auf 27A und 27B, werden die dielektrische Schicht 110 (siehe 13A und 13B) und die Hartmaskenschicht 108 sequentiell unter Verwendung der Abstandshalter 127 und des Opfermaterials 138 als eine Ätzmaske geätzt. Wie oben beschrieben, wird das Opfermaterial 138 mit den Ausnehmungen 138b gebildet, welche durch verschiedene Strukturierungsschritte weitergetragen werden. Die Ausnehmungen 138b stellen Bereiche bereit, in welchen das Opfermaterial 138 keine starke Maske ist. Aufgrund dessen können Bereiche der Hartmaskenschicht 108 unter den Ausnehmungen 138b zumindest teilweise freiliegen, und derartige Bereiche unter den Ausnehmungen 138b können strukturiert werden. So kann die Hartmaske 108 die Öffnungen 108a aufweisen, welche den Ausnehmungen 138b entsprechen. Die Öffnungen 108a können kleinere Abmessungen aufweisen als sie anderweitig durch Photolithographie-Techniken erreichbar wären. In einigen Ausführungsformen umfasst das Ätzen der Hartmaskenschicht 108 ein anisotropes Trockenätzen und/oder Nassätzen. Nachdem die Hartmaskenschicht 108 strukturiert wurde, kann eine Nassreinigung durchgeführt werden, um jegliche verbleibenden Abschnitte der Abstandshalter 127, des Opfermaterials 138 und der dielektrischen Schicht 110 zu entfernen.
  • In einigen Ausführungsformen, wie durch 28A und 28B veranschaulicht, wird die Hartmaskenschicht 108 als eine Ätzmaske zum Strukturieren der Öffnungen 140 in der Zielschicht 102 verwendet. Die Öffnungen 108a in der Hartmaskenschicht 108 können die Strukturierung der Öffnungen 140a in der Zielschicht 102 gestatten. Abmessungen der Öffnungen 140a (z.B. die Breite) können kleiner sein als bei den Öffnungen 140 in der Zielschicht 102. Das Ätzen der Zielschicht 102 kann einen anisotropen Trockenätzprozess und/oder einen Nassätzprozess umfassen, welcher sequentiell durch die ARC 106 zu der Zielschicht 102 ätzt. Verbleibende Abschnitte der Zielschicht 102 können ein gleiches Muster wie die Abstandshalter 127 und das Opfermaterial 138 aufweisen. Nachdem die Öffnungen 140 und 140a strukturiert wurden, kann eine Nassreinigung durchgeführt werden, um jegliche verbleibenden Abschnitte der Hartmaskenschicht 108 und der ARC 106 zu entfernen.
  • Nachdem die Öffnungen 140 in der Zielschicht 102 strukturiert wurden, können Merkmale in den Öffnungen gebildet werden. In einer Ausführungsform ist die Zielschicht 102 eine dielektrische Schicht mit niedriger Dielektrizitätszahl, und die strukturierte Zielschicht 102 stellt ein IMD für eine Verbindungsstruktur bereit. Die leitfähigen Merkmale 150, wie z.B. Kupferleitungen, Kupfer-Durchkontaktierungen und/oder Cobalt-Anschlüsse, können in der IMD-Schicht gebildet werden, wie durch 29A und 29B veranschaulicht. Das Bilden der leitfähigen Merkmale 150 kann ähnlich der Bildung der Auskleidungen 142/des leitfähigen Materials 144 sein, wie oben in Bezug auf 17A und 17B beschrieben.
  • In anderen Ausführungsformen, in welchen die Zielschicht 102 eine leitfähige Schicht oder eine Halbleiterschicht ist, kann ein umgekehrtes Muster der Hartmaskenschicht 108 in der Zielschicht 102 strukturiert werden, und zwar unter Verwendung eines ähnlichen Prozesses wie oben in Bezug auf 18A, 18B, 19A, 19B, 20A und 20B beschrieben. Zum Beispiel kann eine zusätzliche Hartmaske rund um die Hartmaskenschicht 108 abgeschieden werden; die Hartmaskenschicht 108 wird dann entfernt, und die zusätzliche Hartmaske wird zum Strukturieren der Zielschicht 102 verwendet. Die resultierende strukturierte Zielschicht 102 weist ein negatives Muster im Vergleich zum Muster der Hartmaske 108 auf.
  • In verschiedenen Ausführungsformen können, durch das beabsichtigte Einschließen der Ausnehmungen 138b in das Opfermaterial und das Weitergeben des Musters der Ausnehmungen 138b auf die darunterliegenden Merkmale, kleine Merkmale (z.B. die leitfähigen Merkmale 150a) innerhalb der Zielschicht 102 definiert und gebildet werden. Zum Beispiel veranschaulicht 30 eine Draufsicht der Zielschicht 102 und der leitfähigen Merkmale 150. Wie durch 30 veranschaulicht, werden die leitfähigen Merkmale 150 in der Zielschicht 102 gebildet, und die leitfähigen Merkmale 150a können mit einer kleinen Abmessung definiert werden und enger zu benachbarten Merkmalen beabstandet sein als andere Merkmale in der Zielschicht 102.
  • Verschiedene oben beschriebene Ausführungsformen sehen einen Strukturierungsprozess vor, welcher einen Halbleiterschicht-Abscheidungsprozess zum zuverlässigeren Bilden eines strukturierten Opfermaterials mit weniger Defekten verwendet. So können fein strukturierte Merkmale in einer Zielschicht mit weniger Defekten und erhöhtem Ertrag gebildet werden.
  • In Übereinstimmung mit einer Ausführungsform beinhaltet ein Verfahren das Definieren eines ersten Dorns und eines zweiten Dorns über einer Hartmaskenschicht. Das Verfahren beinhaltet auch das Abscheiden einer Abstandsschicht über und entlang Seitenwänden des ersten Dorns und des zweiten Dorns, und das Bilden eines Opfermaterials über der Abstandsschicht zwischen dem ersten Dorn und dem zweiten Dorn. Das Opfermaterial beinhaltet ein anorganisches Oxid. Das Verfahren beinhaltet ferner das Entfernen erster horizontaler Abschnitte der Abstandsschicht zum Freilegen des ersten Dorns und des zweiten Dorns. Verbleibende Abschnitte der Abstandsschicht stellen Abstandshalter auf Seitenwänden des ersten Dorns und des zweiten Dorns bereit. Das Verfahren beinhaltet ferner das Entfernen des ersten Dorns und des zweiten Dorns und das Strukturieren der Hartmaskenschicht unter Verwendung der Abstandshalter und des Opfermaterials als eine Ätzmaske.
  • In Übereinstimmung mit einer Ausführungsform beinhaltet ein Verfahren das Strukturieren einer ersten Öffnung in einer Dornschicht. Die Dornschicht ist über einer Zielschicht angeordnet. Das Verfahren beinhaltet auch das Abscheiden einer Abstandsschicht über einer Bodenfläche und entlang Seitenwänden der ersten Öffnung. Das Verfahren beinhaltet auch das Bilden einer strukturierten Maske über der Abstandsschicht. Die strukturierte Maske beinhaltet eine zweite Öffnung, die einen Abschnitt der Abstandsschicht auf der Bodenfläche der ersten Öffnung freilegt. Das Verfahren beinhaltet auch das Abscheiden eines Opfermaterials in der zweiten Öffnung unter Verwendung von physikalischer Dampfphasenabscheidung (PVD), chemikalischer Dampfphasenabscheidung (CVD), Atomlagenabscheidung (ALD) oder einer Kombination davon. Das Verfahren beinhaltet auch das Entfernen der strukturierten Maske. Das Verfahren beinhaltet auch das Strukturieren der Abstandsschicht zum Bereitstellen von Abstandshaltern auf Seitenwänden der Dornschicht. Das Verfahren beinhaltet auch das Entfernen der Dornschicht. Das Verfahren beinhaltet auch das Übertragen eines Musters der Abstandshalter und des Opfermaterials auf die Zielschicht.
  • In Übereinstimmung mit einer Ausführungsform beinhaltet ein Verfahren das Strukturieren mehrerer Dorne über einer Hartmaskenschicht. Die Hartmaskenschicht ist über einer Zielschicht angeordnet. Das Verfahren beinhaltet auch das Abscheiden einer Abstandsschicht über und entlang Seitenwänden der mehreren Dorne. Das Verfahren beinhaltet auch das Bilden einer strukturierten Maske über der Abstandsschicht. Die strukturierte Maske beinhaltet eine Öffnung, die einen Abschnitt der Abstandsschicht zwischen benachbarten der mehreren Dorne freilegt. Das Verfahren beinhaltet auch das Abscheiden eines Opfermaterials über der strukturierten Maske in der Öffnung. Das Opfermaterial beinhaltet eine Ausnehmung an einer oberen Oberfläche des Opfermaterials. Das Verfahren beinhaltet auch das Entfernen der strukturierten Maske und das Strukturieren der Abstandsschicht zum Freilegen der mehreren Dorne. Seitenwandabschnitte der Abstandsschicht bleiben nach der Strukturierung der Abstandsschicht entlang Seitenwänden der mehreren Dorne bestehen, um die mehreren Dorne freizulegen. Das Verfahren beinhaltet auch das Entfernen der mehreren Dorne und das Strukturieren der Hartmaskenschicht unter Verwendung der Seitenwandabschnitte der Abstandsschicht und des Opfermaterials als eine Ätzmaske.
  • Das Vorstehende legt Merkmale mehrerer Ausführungsformen dar, damit der Fachmann auf dem Gebiet die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann auf dem Gebiet sollte verstehen, dass die vorliegende Offenbarung leicht als eine Grundlage für das Design oder die Modifikation anderer Prozesse und Strukturen zum Ausführen der gleichen Zwecke und/oder zum Erreichen der gleichen Vorteile der hierin vorgestellten Ausführungsformen verwendet werden kann. Der Fachmann auf dem Gebiet sollte auch erkennen, dass sich derartige äquivalente Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung entfernen, und dass verschiedene Veränderungen, Substitutionen und Änderungen vorgenommen werden können, ohne sich vom Geist und Umfang der vorliegenden Offenbarung zu entfernen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62491614 [0001]

Claims (20)

  1. Verfahren, welches Folgendes umfasst: Definieren eines ersten Dorns und eines zweiten Dorns über einer Hartmaskenschicht; Abscheiden einer Abstandsschicht über und entlang Seitenwänden des ersten Dorns und des zweiten Dorns; Bilden eines Opfermaterials über der Abstandsschicht zwischen dem ersten Dorn und dem zweiten Dorn, wobei das Opfermaterial ein anorganisches Oxid umfasst; Entfernen erster horizontaler Abschnitte der Abstandsschicht zum Freilegen des ersten Dorns und des zweiten Dorns, wobei verbleibende Abschnitte der Abstandsschicht Abstandshalter auf Seitenwänden des ersten Dorns und des zweiten Dorns bereitstellen; Entfernen des ersten Dorns und des zweiten Dorns; und Strukturieren der Hartmaskenschicht unter Verwendung der Abstandshalter und des Opfermaterials als eine Ätzmaske.
  2. Verfahren nach Anspruch 1, wobei das Bilden des Opfermaterials Folgendes umfasst: Bilden einer strukturierten Maske über der Abstandsschicht, wobei die strukturierte Maske eine Öffnung umfasst, die einen Abschnitt der Abstandsschicht zwischen dem ersten Dorn und dem zweiten Dorn freilegt; Abscheiden des Opfermaterials in der Öffnung; und Entfernen der strukturierten Maske.
  3. Verfahren nach Anspruch 2, wobei das Opfermaterial bei 200 ° Celsius oder weniger abgeschieden wird.
  4. Verfahren nach Anspruch 2 oder 3, wobei das Abscheiden des Opfermaterials einen physikalischen Gasphasenabscheidungs- (PVD - Physical Vapor Deposition) -Prozess, einen chemischen Gasphasenabscheidungs- (CVD - Chemical Vapor Deposition) -Prozess, einen Atomlagenabscheidungs- (ALD - Atomic Layer Deposition) -Prozess oder eine Kombination davon umfasst.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei ein Verhältnis einer ersten Ätzrate des Opfermaterials zu einer zweiten Ätzrate der Abstandsschicht in Bezug auf einen gleichen Ätzprozess mindestens 0,7 beträgt.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Opfermaterial Titanoxid, Tantaloxid, Siliciumoxid, Siliciumnitrid, Siliciumcarbid oder eine Kombination davon umfasst.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Opfermaterial einen zweiten horizontalen Abschnitt der Abstandsschicht maskiert, während die ersten horizontalen Abschnitte der Abstandsschicht entfernt werden.
  8. Verfahren, welches Folgendes umfasst: Strukturieren einer ersten Öffnung in einer Dornschicht, wobei die Dornschicht über einer Zielschicht angeordnet ist; Abscheiden einer Abstandsschicht über einer Bodenfläche und entlang Seitenwänden der ersten Öffnung; Bilden einer strukturierten Maske über der Abstandsschicht, wobei die strukturierte Maske eine zweite Öffnung umfasst, die einen Abschnitt der Abstandsschicht auf der Bodenfläche der ersten Öffnung freilegt; Abscheiden eines Opfermaterials in der zweiten Öffnung unter Verwendung von physikalischer Gasphasenabscheidung (PVD), chemischer Gasphasenabscheidung (CVD), Atomlagenabscheidung (ALD) oder einer Kombination davon; Entfernen der strukturierten Maske; Strukturieren der Abstandsschicht zum Bereitstellen von Abstandshaltern auf Seitenwänden der Dornschicht; Entfernen der Dornschicht; und Übertragen eines Musters der Abstandshalter und des Opfermaterials auf die Zielschicht.
  9. Verfahren nach Anspruch 8, wobei das Opfermaterial ein anorganisches Material ist.
  10. Verfahren nach Anspruch 8 oder 9, wobei das Opfermaterial den Abschnitt der Abstandsschicht auf der Bodenfläche der ersten Öffnung maskiert, während die Abstandsschicht strukturiert wird.
  11. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 10, wobei das Bilden der strukturierten Maske über der Abstandsschicht Folgendes umfasst: Abscheiden einer unteren Schicht über der Abstandsschicht; und Strukturieren der zweiten Öffnung durch die untere Schicht.
  12. Verfahren nach Anspruch 11, welches ferner Folgendes umfasst: Abscheiden einer mittleren Schicht über der unteren Schicht; Strukturieren der zweiten Öffnung durch die mittlere Schicht; und vor dem Abscheiden des Opfermaterials in der zweiten Öffnung, Entfernen der mittleren Schicht.
  13. Verfahren nach Anspruch 11, welches ferner Folgendes umfasst: Abscheiden einer mittleren Schicht über der unteren Schicht; und Strukturieren der zweiten Öffnung durch die mittlere Schicht, wobei das Abscheiden des Opfermaterials das Abscheiden eines Abschnitts des Opfermaterials über der mittleren Schicht umfasst.
  14. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 13, welches ferner das Beschneiden des Opfermaterials nach dem Entfernen der strukturierten Maske umfasst, wobei das Beschneiden des Opfermaterials eine Breite des Opfermaterials in mindestens einem Querschnitt reduziert.
  15. Verfahren nach Anspruch 14, wobei das Beschneiden des Opfermaterials einen Trockenätzprozess, einen Nassätzprozess oder eine Kombination davon umfasst.
  16. Verfahren nach Anspruch 15, wobei der Trockenätzprozess das Verwenden eines Fluorkohlenstoff-basierten Ätzmittels umfasst, oder wobei der Nassätzprozess das Verwenden verdünnter Flusssäure als ein Ätzmittel umfasst.
  17. Verfahren, welches Folgendes umfasst: Strukturieren mehrerer Dorne über einer Hartmaskenschicht; Abscheiden einer Abstandsschicht über und entlang Seitenwänden der mehreren Dorne; Bilden einer strukturierten Maske über der Abstandsschicht, wobei die strukturierte Maske eine Öffnung umfasst, die einen Abschnitt der Abstandsschicht zwischen benachbarten der mehreren Dorne freilegt; Abscheiden eines Opfermaterials über der strukturierten Maske in der Öffnung, wobei das Opfermaterial eine Ausnehmung an der oberen Oberfläche des Opfermaterials umfasst; Entfernen der strukturierten Maske; Strukturieren der Abstandsschicht zum Freilegen der mehreren Dorne, wobei Seitenwandabschnitte der Abstandsschicht entlang Seitenwänden der mehreren Dorne nach dem Strukturieren der Abstandsschicht zum Freilegen der mehreren Dorne bestehen bleiben; Entfernen der mehreren Dorne; und Strukturieren der Hartmaskenschicht unter Verwendung der Seitenwandabschnitte der Abstandsschicht und des Opfermaterials als eine Ätzmaske.
  18. Verfahren nach Anspruch 17, welches ferner das Strukturieren des Opfermaterials umfasst, wobei die Ausnehmung in dem Opfermaterial nach dem Strukturieren des Opfermaterials bestehen bleibt.
  19. Verfahren nach Anspruch 17 oder 18, wobei das Opfermaterial einen ersten horizontalen Abschnitt der Abstandsschicht und einen zweiten horizontalen Abschnitt der Abstandsschicht maskiert, während die Abstandsschicht strukturiert wird, und wobei die Ausnehmung einen dritten horizontalen Abschnitt der Abstandsschicht zwischen dem ersten horizontalen Abschnitt und dem zweiten horizontalen Abschnitt mindestens teilweise freilegt, während die Abstandsschicht strukturiert wird.
  20. Verfahren nach einem der vorhergehenden Ansprüche 17 bis 19, wobei das Opfermaterial ein anorganisches Material ist, und wobei das Abscheiden des Opfermaterials einen physikalischen Gasphasenabscheidungs- (PVD) Prozess, einen chemischen Gasphasenabscheidungs- (CVD) Prozess, einen Atomlagenabscheidungs- (ALD) Prozess oder eine Kombination davon umfasst.
DE102017128235.1A 2017-04-28 2017-11-29 Strukturierungsverfahren für ein halbleiterbauelement und daraus resultierende strukturen Pending DE102017128235A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762491614P 2017-04-28 2017-04-28
US62/491,614 2017-04-28
US15/641,009 2017-07-03
US15/641,009 US10340141B2 (en) 2017-04-28 2017-07-03 Patterning method for semiconductor device and structures resulting therefrom

Publications (1)

Publication Number Publication Date
DE102017128235A1 true DE102017128235A1 (de) 2018-10-31

Family

ID=63797652

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017128235.1A Pending DE102017128235A1 (de) 2017-04-28 2017-11-29 Strukturierungsverfahren für ein halbleiterbauelement und daraus resultierende strukturen

Country Status (5)

Country Link
US (1) US10340141B2 (de)
KR (1) KR102108234B1 (de)
CN (1) CN108807152A (de)
DE (1) DE102017128235A1 (de)
TW (1) TW201839852A (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102022107920A1 (de) 2021-12-07 2023-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Filmabscheidung für einen strukturierungsprozess
US11715640B2 (en) 2020-09-30 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including silicon-containing layer and method for semiconductor device fabrication
CN117545275A (zh) * 2024-01-08 2024-02-09 长鑫新桥存储技术有限公司 半导体结构的制作方法
CN117545275B (zh) * 2024-01-08 2024-05-14 长鑫新桥存储技术有限公司 半导体结构的制作方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10636667B2 (en) * 2017-11-21 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor devices and structures thereof
TWI777063B (zh) * 2018-05-03 2022-09-11 日商東京威力科創股份有限公司 設計成使線寬粗糙度及線邊緣粗糙度最小化的臨界尺寸修整方法
DE102019120765B4 (de) * 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden eines halbleiterbauelements
US10763262B2 (en) * 2018-11-23 2020-09-01 Nanya Technology Corporation Method of preparing semiconductor structure
US11069564B2 (en) 2019-04-09 2021-07-20 International Business Machines Corporation Double metal patterning
US11257681B2 (en) * 2019-07-17 2022-02-22 International Business Machines Corporation Using a same mask for direct print and self-aligned double patterning of nanosheets
DE102020123934A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selbstausgerichtete doppelstrukturierung
US11676821B2 (en) 2019-10-29 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning
CN110718460B (zh) * 2019-11-26 2022-06-14 上海华力微电子有限公司 一种改善sadp中奇偶效应的工艺方法
US11373880B2 (en) 2020-09-22 2022-06-28 International Business Machines Corporation Creating different width lines and spaces in a metal layer
US20220102143A1 (en) * 2020-09-29 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Metal Hard Masks for Reducing Line Bending
US11688610B2 (en) * 2020-09-30 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Feature patterning using pitch relaxation and directional end-pushing with ion bombardment
US20220344217A1 (en) * 2021-04-22 2022-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor structure
US20220367253A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100316017B1 (ko) * 1998-12-24 2002-02-19 박종섭 상감기법을이용한미세금속패턴형성방법
KR100520188B1 (ko) * 2000-02-18 2005-10-10 주식회사 하이닉스반도체 부분적으로 가교화된 2층 포토레지스트용 중합체
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
KR100866723B1 (ko) * 2006-12-28 2008-11-05 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
KR100955265B1 (ko) * 2007-08-31 2010-04-30 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
KR20120004802A (ko) * 2010-07-07 2012-01-13 주식회사 하이닉스반도체 반도체 장치 제조 방법
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US8735296B2 (en) * 2012-07-18 2014-05-27 International Business Machines Corporation Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9501601B2 (en) 2013-03-14 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization of a main pattern and a cut pattern
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US8954900B1 (en) * 2013-07-31 2015-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning mask decomposition method and system
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11715640B2 (en) 2020-09-30 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including silicon-containing layer and method for semiconductor device fabrication
DE102022107920A1 (de) 2021-12-07 2023-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Filmabscheidung für einen strukturierungsprozess
CN117545275A (zh) * 2024-01-08 2024-02-09 长鑫新桥存储技术有限公司 半导体结构的制作方法
CN117545275B (zh) * 2024-01-08 2024-05-14 长鑫新桥存储技术有限公司 半导体结构的制作方法

Also Published As

Publication number Publication date
KR20180121327A (ko) 2018-11-07
KR102108234B1 (ko) 2020-05-11
CN108807152A (zh) 2018-11-13
US20180315601A1 (en) 2018-11-01
TW201839852A (zh) 2018-11-01
US10340141B2 (en) 2019-07-02

Similar Documents

Publication Publication Date Title
DE102017128235A1 (de) Strukturierungsverfahren für ein halbleiterbauelement und daraus resultierende strukturen
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102018115204A1 (de) Strukturierungsverfahren für halbleiter-bauelemente und daraus resultierende strukturen
DE102016123943A1 (de) Halbleiterverfahren und -vorrichtungen
DE102013103976B4 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE102018106631A1 (de) Schneideverfahren für Halbleiterstruktur und dadurch gebildete Strukturen
DE102017125781A1 (de) Verfahren zum Entfernen einer Ätzmaske
DE102020119458A1 (de) Niedrigdimensionale materialvorrichtung und verfahren
DE102016119019B4 (de) Halbleiterbauelement und Herstellungsverfahren dafür
DE102021101467A1 (de) Halbleiterstrukturierung und resultierende strukturen
DE102019204967A1 (de) Angeschrägte Austauschgatestrukturen
DE102007035898B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE102013112137A1 (de) Verfahren zum Verarbeiten eines Dies
DE102004028026A1 (de) Zweischichtige Metallhartmasken zur Verwendung in Dual-Damascene-Ätzschemata
DE102005042732A1 (de) Verfahren zur Ätzstoppschichtbildung, Halbleiterbauelement und Herstellungsverfahren
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE102020128835A1 (de) Halbleitervorrichtung und verfahren
DE102020122500A1 (de) Luft-spacer-strukturen
DE102019118254A1 (de) Strukturbildungsverfahren und verfahren zur herstellung einer halbleitervorrichtung
DE19719909A1 (de) Zweifaches Damaszierverfahren
DE102017127390B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE102021114103A1 (de) Metallische hartmasken zum reduzieren der leitungskrümmung
DE102017128047A1 (de) Halbleitereinrichtung und verfahren zu deren herstellung
DE102019113646B4 (de) Verfahren zum Bilden einer integrierten Schaltungsstruktur
DE102017127269A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication