CN108807152A - 半导体装置的形成方法 - Google Patents

半导体装置的形成方法 Download PDF

Info

Publication number
CN108807152A
CN108807152A CN201710884386.0A CN201710884386A CN108807152A CN 108807152 A CN108807152 A CN 108807152A CN 201710884386 A CN201710884386 A CN 201710884386A CN 108807152 A CN108807152 A CN 108807152A
Authority
CN
China
Prior art keywords
layer
core
expendable material
spacer
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710884386.0A
Other languages
English (en)
Inventor
彭泰彦
叶朝贵
吴英豪
陈志壕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108807152A publication Critical patent/CN108807152A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种半导体装置的形成方法包括:定义第一芯与第二芯于硬掩模层上。方法亦包括沿着第一芯与第二芯的侧壁及上方沉积间隔物层,并形成牺牲材料于第一芯与第二芯之间的间隔物层上。牺牲材料包括无机氧化物。移除间隔物层的第一水平部份,以露出第一芯与第二芯。间隔物层的保留部份提供多个间隔物于第一芯与第二芯的侧壁上。方法亦包括移除第一芯与第二芯,并采用间隔物与牺牲材料作为蚀刻掩模,以图案化硬掩模层。

Description

半导体装置的形成方法
技术领域
本发明实施例关于半导体装置的形成方法,更特别关于采用无机材料作为牺牲材料的图案化制程。
背景技术
随着半导体装置的尺寸缩小,多种制程技术如光微影已适用于形成尺寸较小的装置。举例来说,随着栅极密度增加,用于形成装置中多种结构(比如上方的内连线结构)的制程需与缩小装置结构的制程相容。然而半导体制程的制程容忍度越来越小,这些装置的制程已达到甚至超越现有光微影技术的理论极限。随着半导体装置持续缩小,装置单元之间所需的空间(如间距)可小于现有光掩模与微影技术所能形成的间距。
发明内容
本发明一实施例提供的半导体装置的形成方法,包括:定义第一芯与第二芯于硬掩模层上;沿着第一芯与第二芯的侧壁及上方沉积间隔物层,形成牺牲材料于第一芯与第二芯之间的间隔物层上,其中牺牲材料包括无机氧化物;移除间隔物层的第一水平部份,以露出第一芯与第二芯,其中间隔物层的保留部份提供多个间隔物于第一芯与第二芯的侧壁上;移除第一芯与第二芯;以及采用间隔物与牺牲材料作为蚀刻掩模,以图案化硬掩模层。
附图说明
图1、2、3、4、5、6A、6B、7A、7B、8A、8B、9A、9B、10A、10B、11A、11B、12A、12B、13A、13B、14、15A、15B、16A、16B、17A、与17B是一些实施例中,用于形成半导体装置的制程其多种中间阶段的剖视图。
图18A、18B、19A、19B、20A、与20B是一些其他实施例中,用于形成半导体装置的制程其多种中间阶段的剖视图。
图21A、21B、22A、22B、23A、23B、24A、24B、25A、25B、26A、26B、27A、27B、28A、28B、29A、29B、与30是一些其他实施例中,用于形成半导体装置的制程其多种中间阶段的剖视图。
【符号说明】
A-A、B-B 剖线
P1、P2 间距
T1 深度
W1、W2、W3 宽度
100、200 半导体装置
102 目标层
104 半导体基板
106 抗反射涂层
108、180 硬掩模层
108a、122、136、140、140a 开口
110 介电层
112 芯层
114、128 底层
116、130 中间层
118、132 上方层
120、134 三层光阻
124 芯
126 间隔物层
127 间隔物
138 牺牲材料
138、138b 凹陷
142 衬垫物
144 导电材料
150、150a 导电结构
具体实施方式
下述揭露内容提供许多不同实施例或实例以实施本发明的不同结构。下述特定构件与排列的实施例用以简化本发明而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触,或两者的间隔有其他额外构件而非直接接触。此外,本发明的多个实例可采用重复标号及/或符号使说明简化及明确,但这些重复不代表多种实施例中相同标号的元件之间具有相同的对应关系。
此外,空间性的相对用语如「下方」、「其下」、「较下方」、「上方」、「较上方」、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
此处所述的多种实施例关于特定的图案化制程,其称作自对准双重图案化制程,其图案化芯状物、沿着芯状物的侧壁形成间隔物、再移除芯状物并保留间隔物,以定义间距为芯状物间距的一半的图案。然而,多种实施例可导向其他图案化制程,比如自对准四重图案化制程及类似制程。
一些实施例提供半导体装置与其形成方法。特别的是进行自对准双重图案化制程,以图案化线路于半导体装置中。图案化的线路间距至少为光微影制程所能达到的最小间距的一半。图案化线路定义于间隔物的相邻侧壁之间,而图案化的牺牲材料(有时称作反向材料)形成于图案化线路中。牺牲材料可包含无机材料,其形成方法可为图案化开口于掩模中,且开口露出图案化线路的选定区域。接着沉积无机材料于开口中,且沉积方法可为合适的膜沉积制程如化学气相沉积、物理气相沉积、原子层沉积、或类似方法。在形成牺牲材料后,采用间隔物与牺牲材料作为掩模并图案化下方的掩模层,而图案化的掩模层接着可用于图案化目标层。下方的目标层可为用于多种目的的层状物。举例来说,目标层可为低介电常数介电层,其开口的图案化制程可采用掩模层。接着,可将导电层填入低介电常数层的开口中,以定义内连线线路。内连线线路具有的间距,可小于单用光微影制程所能达到的间距。与旋转涂布制程形成的有机牺牲材料相较,实施例沉积的无机材料可改善牺牲材料的性质并减少牺牲材料的缺陷(如减少泡沫)。如此一来,可形成间距较小且良率较高的半导体结构。
图1至17B是一些例示性实施例中,形成半导体装置100上的目标层102中的结构的制程其中间阶段的剖视图。在本发明实施例中,目标层102为即将形成多个图案于其中的层状物。在一些实施例中,半导体装置100为较大晶片的一部份。在这些实施例中,在形成半导体装置100的多种结构(如主动装置、内连线结构、与类似物)后,可施加切割制程至晶片的切割线区,以自晶片分离个别的半导体晶粒(又称作切割步骤)。
在一些实施例中,目标层102为金属间介电层。在这些实施例中,目标层102包含低介电常数介电材料,其介电常数小于3.8、小于约3.0、或小于约2.5。在其他实施例中,目标层102为金属间介电层,其包含高介电常数介电材料(介电常数高于3.8)。通过实施例的制程,可图案化开口于目标层102中,且导电线路及/或通孔可形成于开口中如下述。
在一些实施例中,目标层102为半导体基板。半导体基板可由半导体材料如硅、硅锗、或类似物形成。在一些实施例中,半导体基板为结晶的半导体基板,比如结晶硅基板、结晶碳化硅基板、结晶硅锗基板、III-V族半导体化合物基板、或类似物。半导体基板可由实施例的制程图案化,且后续制程步骤可用以形成浅沟槽隔离区于基板中。半导体鳍状物可自浅沟槽隔离区之间凸起。源极/漏极区可形成于半导体鳍状物中,且栅极介电物与栅极层可形成于鳍状物的通道区上,以形成半导体装置如鳍状场效晶体管。
在一些实施例中,目标层102为导电层如金属层或多晶层,其形成方法为毯覆性沉积。实施例的图案化制程可施加至目标层102,以图案化半导体栅极及/或鳍状场效晶体管的虚置栅极。通过实施例的制程图案化导电的目标层102,可缩小相邻的栅极之间的空间,并可增加栅极密度。
在图1中,包含目标层102的膜堆叠形成于半导体装置100中。在一些实施例中,目标层102可形成于半导体基板104上。半导体基板104可由半导体材料形成,比如掺杂或非掺杂的硅,或绝缘层上半导体基板的主动层。半导体基板104可包含其他半导体材料如锗;半导体化合物如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、及/或锑化铟;半导体合金如硅锗、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟、及/或磷砷化镓铟;或上述的组合。此外,亦可采用其他基板如多层或组成渐变基板。装置(未图示)如晶体管、二极管、电容、电阻、或类似物可形成于半导体基板104的主动表面之中及/或之上。在其他实施例中,当目标层102为半导体基板以用于形成鳍状场效晶体管时,可省略半导体基板104。
虽然图1中的目标层102物理接触半导体基板104,但目标层102与半导体基板104之间可插置任何数目的中介层。这些中介层可包含层间介电层(包含低介电常数介电物与形成其中的接点插塞)、其他金属间介电层与形成其中的导电线路及/或通孔、一或多个中介层(如蚀刻停止层、黏着层、或类似物)、上述的组合、或类似物。举例来说,视情况形成的蚀刻停止层(未图示)可直接位于目标层102下。蚀刻停止层可用于使之后在目标层102上进行的蚀刻制程停止。蚀刻停止层的材料与形成方法可取决于目标层102的材料。在一些实施例中,蚀刻停止层的组成可为氮化硅、氮氧化硅、氮氧碳化硅、碳化硅、碳氧化硅、氮碳化硅、氧化硅、其他介电物、上述的组合、或类似物,且其形成方法可为等离子体增强化学气相沉积、低压化学气相沉积、物理气相沉积、或类似方法。
膜堆叠可更包含抗反射涂层106,其形成于目标层102上。在图案化上方的光阻层(说明如下)时,抗反射涂层106有助于曝光与聚焦。在一些实施例中,抗反射涂层106的组成可为氮氧化硅、碳化硅、掺杂氧与氮的材料、或类似物。在一些实施例中,抗反射涂层106实质上不含氮,且组成可为氧化物。在这些实施例中,抗反射涂层106亦可称作无氮抗反射涂层。抗反射涂层106的形成方法可为等离子体增强化学气相沉积、高密度等离子体沉积、或类似方法。
膜堆叠可更包含硬掩模层108,其形成于抗反射涂层106及目标层102上。硬掩模层108的材料组成可包含金属(如氮化钛、钛、氮化钽、钽、掺杂金属的碳化物如碳化钨、或类似物)及/或类金属(如氮化硅、氮化硼、碳化硅、或类似物),且其形成方法可为物理气相沉积、射频物理气相沉积、原子层沉积、或类似方法。在后续制程步骤中,可采用实施例的图案化制程形成图案于硬掩模层108上。接着可采用硬掩模层108作为蚀刻掩模并蚀刻目标层102,以将硬掩模层108的图案转移至目标层102。
膜堆叠亦可包含介电层110,其形成于硬掩模层108上。介电层110的组成可为氧化硅如硼磷硅酸盐四乙氧基硅烷或未掺杂的四乙氧基硅烷的氧化物,且其形成方法可为化学气相沉积、原子层沉积、旋转涂布、或类似方法。在一些实施例中,介电层110作为蚀刻停止层,其用于后续形成的芯及/或间隔物(如图4的芯124与图13A的间隔物127)的图案化制程。在一些实施例中,介电层110亦可作为抗反射涂层。
膜堆叠可更包含芯层112,其形成于硬掩模层108上。芯层112的组成可为半导体如非晶硅、多晶硅、氮化硅、氧化硅、或与下方层状物(如介电层110)具有高蚀刻选择性的另一材料。
三层光阻120形成于膜堆叠的芯层112上。三层光阻120包含底层114、底层114上的中间层116、与中间层116上的上方层118。底层114与上方层118的组成可为光阻如光敏材料,其包含有机材料。在一些实施例中,底层114亦可为底抗反射涂层。中间层116可包含无机材料,其可为氮化物如氮化硅、氮氧化物如氮氧化硅、氧化物如氧化硅、或类似物。中间层116与上方层118(及底层114)之间具有高蚀刻选择性。三层光阻120的多种层状物可毯覆性地依序沉积,且沉积方法可采用旋转涂布制程。虽然此处所述的光阻层为三层光阻120,但其他实施例中的光阻可为单层或双层光阻(比如只有底层114与上方层118,而无中间层116)。上述采用的光阻种类(比如单层、双层、或三层)可取决于图案化芯层112所用的光微影制程。举例来说,在进阶极紫外线微影制程中,可采用单层或双层光阻。
在一些实施例中,采用光微影制程图案化上方层118。接着采用上方层118作为图案化中间层116的蚀刻掩模(见图2)。接着采用中间层116作为图案化底层114的蚀刻掩模,再采用底层以图案化芯层112(见图3与4)。采用三层光阻(如三层光阻120)并蚀刻目标层(如芯层112),可改善目标层(如芯层112)内小间距图案的定义。
采用合适的光微影制程图案化上方层118,以形成开口122于其中。在一例中,图案化开口122于上方层118中的方法可将光掩模(未图示)置于上方层118上。由于光掩模掩模上方层118的部份区域,接着以射线束如紫外线或准分子激光(如氟化氪准分子激光的248nm光束、氟化氩准分子激光的193nm光束、或氟准分子激光的157nm光束)曝光上方层118。曝光顶光阻层的步骤可采用浸润式微影系统,以增加解析度并缩小制程可达的最小间距。可进行烘烤或硬化步骤以硬化上方层118,并可采用显影剂移除上方层118的曝光部份或未曝光部份(端视上方层118采用正型或负型光阻)。在平面图(未图示)中,开口122可为带状。开口122的间距P1可为单用光微影制程所能达到的最小间距。举例来说,一些实施例的开口122的间距P1为约80nm。此外,开口122亦可具有其他间距P1。
在图案化上方层118之后,蚀刻制程可将上方层118的图案转移至中间层116。蚀刻制程可为非等向,因此上方层118中的开口122将延伸穿过中间层116,且中间层116中的开口具有与上方层118中的开口大致相同的尺寸。上述结构如图2所示。
可视情况进行修整制程(未图示)以增加中间层116中开口122的尺寸。在一实施例中,修整制程为非等向等离子体蚀刻制程,其制程气体包含氧气、二氧化碳、氮气/氢气、氢气、类似物、上述的组合、或适于修整中间层116的任何其他气体。上述修整可增加开口122的宽度W1,并缩小开口122之间的部份中间层116的宽度W2。修整制程可让宽度W1与宽度W2达到所需的比例,使后续定义的线路彼此之间可一致的相隔。在其他实施例中,中间层116一开始即图案化为宽度W1与宽度W2具有所需的比例,因此可省略修整制程。
在图3中,进行蚀刻制程以将中间层116的图案转移至底层114,使开口122延伸穿过底层114。蚀刻底层114的制程为非等向,因此中间层116中的开口122延伸穿过底层114,且底层114中的开口具有与中间层116中的开口大致相同的尺寸。在蚀刻底层114时,可消耗第1与2图中的上方层118。
在图4中,采用蚀刻制程将底层114的图案(见图3)转移至芯层112。蚀刻芯层112的制程为非等向,因此底层114中的开口122延伸穿过芯层112,且芯层112中的开口具有与底层114中的开口大致相同的尺寸。如此一来,保留的部份芯层112将定义芯124(比如开口122之间的部份芯层112)。芯124具有间距P1(亦定义于图1中)。在一些实施例中,间距P1为采用光微影制程所能达到的最小间距。在蚀刻芯层112时,可消耗中间层116,且可消耗至少部份的底层114。在蚀刻芯层112的制程未完全消耗底层114的实施例中,可进行灰化制程以移除残留的底层114。
在图5中,间隔物层126形成于芯124上且沿着芯124的侧壁。间隔物层126可进一步沿着开口122中的介电层110的上表面延伸。间隔物层126的材料可择以与介电层110(及芯124)之间具有高蚀刻选择性。举例来说,间隔物层126可包含氧化铝、氮化铝、氮氧化铝、氮化钽、氮化钛、氧化钛、硅、氧化硅、氮化硅、金属、金属合金、与类似物,且其沉积方法可采用任何合适制程如原子层沉积、化学气相沉积、或类似制程。在一些实施例中,间隔物层126的沉积制程为顺应性的制程,因此芯124的侧壁上的间隔物层126、上表面上的间隔物层126、以及开口122的下表面122上的间隔物层126具有实质上一致的厚度(符合制程容忍度)。在多种实施例中,间隔物层126的侧壁之间的开口122的区域,可定义线路图案。线路图案对应之后形成于目标层102中的图案化结构(如衬垫物142/导电线路144)的所需位置,见图17A与17B。
在图6A、6B、7A、7B、8A、8B、9A、9B、10A、10B、11A、11B、12A、12B、13A、与13B中,图案化的牺牲材料(如图11A与11B中的牺牲材料138)形成于间隔物层126的选定部份上。特别的是,图案化的牺牲材料沉积于线路图案的选定区域中(如图5所示的间隔物层126的侧壁之间的开口122的区域)。图案化的牺牲材料可进一步定义用于蚀刻目标层102的所需图案。举例来说,可沉积图案化的牺牲材料,以定义未形成(比如截断)于目标层102中的图案化的结构(如导电线路)的区域,如图17A与17B所示。在图6A、6B、7A、7B、8A、8B、9A、9B、10A、10B、11A、11B、12A、12B、13A、与13B中,末尾为「A」的附图为剖视图,其截面与图1至5的截面相同;而末尾为「B」的附图分别为沿着图6A、7A、8A、9A、10A、11A、12A、与13A中剖线B-B的剖视图。举例来说,图6B即沿着图6A中剖线B-B的剖视图,图7B即沿着图7A中剖线B-B的剖视图,以此类推。
首先如图6A与6B所示,形成三层光阻134于间隔物层126上。三层光阻134可沉积于芯124之间,比如线路图案中的间隔物层126的侧壁之间。三层光阻134包含底层128、底层128上的中间层130、与中间层130上的上方层132。底层128与上方层132的组成可为光阻如光敏材料,其包含有机材料。在一些实施例中,底层128亦可为底抗反射涂层。中间层130可包含无机材料,其可为氮化物如氮化硅、氮氧化物如氮氧化硅、氧化物如氧化硅、或类似物。中间层130与上方层132(及底层128)之间具有高蚀刻选择性。三层光阻134的多种层状物可毯覆性地依序沉积,且沉积方法可采用旋转涂布制程。
在一些实施例中,采用光微影制程图案化上方层132。接着采用上方层132作为图案化中间层130的蚀刻掩模(见图7A与7B)。接着采用中间层130作为图案化底层128的蚀刻掩模(见图7A与7B)。采用合适的光微影制程图案化上方层132,以形成开口136于其中。在一例中,图案化开口136于上方层132中的方法可将光掩模(未图示)置于上方层132上。由于光掩模掩模上方层132的部份区域,接着以射线束如紫外线或准分子激光(如氟化氪准分子激光的248nm光束、氟化氩准分子激光的193nm光束、或氟准分子激光的157nm光束)曝光上方层132。曝光顶光阻层的步骤可采用浸润式微影系统,以增加解析度并缩小制程可达的最小间距。可进行烘烤或硬化步骤以硬化上方层132,并可采用显影剂移除上方层132的曝光部份或未曝光部份(端视上方层132采用正型或负型光阻)。开口136的图案可对应后续形成的牺牲材料所需的图案。举例来说,三层光阻134可用以定义后续形成的牺牲材料(见图9A与9B的牺牲材料138)的形状。
在图案化上方层132之后,蚀刻制程可将上方层132的图案转移至中间层130。蚀刻制程可为非等向,因此上方层132中的开口136将延伸穿过中间层130,且中间层130中的开口具有与上方层132中的开口大致相同的尺寸。上述结构如图7A与7B所示。
在图7A与7B中,图案化中间层130之后,蚀刻制程可将中间层130的图案转移至底层128,使开口136穿过底层128。在蚀刻底层128时,可消耗图6A与6B中的上方层132。
在图8A与8B中,沉积牺牲材料138(有时亦称作反向材料)于底层128上及开口136中。在一些实施例中,牺牲材料138亦沉积于中间层130上。在其他实施例中(未图示),在沉积牺牲材料138之前先移除中间层130。移除中间层130的方法可包含合适的干蚀刻制程,或干蚀刻制程与湿蚀刻制程的组合。在这些实施例中,牺牲材料138可直接形成于(比如直接接触)底层128的顶部表面上。
在多种实施例中,牺牲材料138包含无机材料。举例来说,牺牲材料138可为无机氧化物如氧化钛、氧化钽、氧化硅、或类似物。在一些实施例中,无机材料为低温氧化物。此处所述的用语「低温氧化物」指的是以较低制程温度(如小于或等于200℃)沉积的氧化物。在这些实施例中,发现低温沉积制程不会对底层128造成明显损伤。在相同的蚀刻制程中,牺牲材料138与间隔物层126之间具有足够的蚀刻选择性。举例来说,一些实施例中的牺牲材料138与间隔物层126对相同的蚀刻制程的蚀刻速率比例为至少0.7。当牺牲材料138与间隔物层126对相同的蚀刻制程的蚀刻速率比例符合上述范围时,可发现图案化制程的可靠性提高。
牺牲材料138的形成方法可采用半导体膜沉积制程,比如化学气相沉积、物理气相沉积、原子层沉积、或类似方法。半导体膜沉积制程可为顺应性的制程,其可形成牺牲材料138于开口136的侧壁与下表面上。随着沉积持续,开口136的相反两侧壁上的部份牺牲材料138将合并并填满开口136。半导体膜沉积制程形成的牺牲材料138,可具有不平坦的上表面。举例来说,凹陷138a可存在于开口136之中及/或之上的牺牲材料138其上表面中。
与旋转涂布制程相较,半导体膜沉积制程可让填入开口136中的牺牲材料138具有改良的填隙能力及较少缺陷(比如牺牲材料138中具有较少泡沫)。在沉积牺牲材料138前先移除中间层130的实施例中,牺牲材料138的缺陷减少现象特别普遍。不受任何特定理论限制,上述缺陷减少的现象可能来自于在沉积牺牲材料138时,底层128比中间层130提供更佳表面(比如较少扭结)。
接着在图9A与9B中,进行平坦化制程如化学机械研磨、干蚀刻、上述的组合、或类似方法,以移除牺牲材料138超出开口136的多余部份。在牺牲材料138形成于中间层130上的实施例中,平坦化制程亦可移除中间层130。在平坦化制程之后可露出底层128,且牺牲材料138及底层128的上表面可共平面。在一些实施例中,平坦化制程亦可移除形成于牺牲材料138中的凹陷138a(见图8A与8B)。
在图10A与10B中,采用灰化制程移除底层128。在移除底层128之后,保留牺牲材料138如柱状物。保留的牺牲材料138可掩模间隔物层126的选定区域。在一些实施例中,牺牲材料138可自一芯124上的间隔物层126的第一侧壁部份,跨越至另一芯124上的间隔物层126的第二侧壁部份。
在图11A与11B中,修整牺牲材料138使其具有所需轮廓。在一些实施例中,修整牺牲材料138的步骤会使牺牲材料138凹陷至低于间隔物层126的顶部表面,比如低于芯124的上表面。修整牺牲材料138的步骤可露出芯124上的部份间隔物层126,如图11A所示。在一些实施例中,修整牺牲材料138的步骤亦至少缩小牺牲材料138于剖线B-B的剖面中的宽度,如图11B所示。
修整牺牲材料138的步骤可包含干蚀刻制程,或干蚀刻制程与湿蚀刻制程的组合。在一些实施例中,用于修整牺牲材料138的干蚀刻制程可包含采用碳氟基蚀刻剂如四氟化碳。其他制程气体可与碳氟基蚀刻剂搭配使用,比如氧气、氮气、氩气、上述的组合、或类似物。在一些实施例中,修整牺牲材料138的湿蚀刻制程可包含采用稀氢氟酸作为蚀刻剂。举例来说,通过控制蚀刻修整制程的时间,可让牺牲材料138具有所需形状。
接着进行蚀刻制程移除间隔物层126其露出的水平部份以露出芯124。在蚀刻制程后,可保留间隔物层126的垂直部份以作为间隔物127。此外,蚀刻制程后亦可保留间隔物层126的掩模部份(比如牺牲材料138掩模的区域)。在一些实施例中,蚀刻间隔物层126的步骤为干蚀刻制程,其采用合适的蚀刻剂如甲烷、氯气、上述的组合、或类似物。其他制程气体如氮气,亦可与上述蚀刻剂搭配以用于干蚀刻制程。干蚀刻制程可为非等向,并可移除间隔物层126其露出的水平部份而未明显移除间隔物层126的垂直部份(即间隔物127)或牺牲材料138。上述步骤形成的结构如图12A与12B所示。
在图13A与13B中,采用蚀刻制程移除芯124。由于芯124、间隔物层126、与牺牲材料138对相同的蚀刻制程具有蚀刻选择性,因此可移除芯124而不移除间隔物127或牺牲材料138。蚀刻芯124后可露出下方的介电层110,而介电层110可作为蚀刻停止层。
虽然图13A与13B中移除所有的芯124,但多种实施例可选择性地移除部份芯124。举例来说,晶片的特定区域(取决于装置布局)上形成的目标层102中,可不形成及/或移除芯124。为了达到选择性移除芯124的目的,可沉积光阻(未图示)于芯124、间隔物127、与牺牲材料138上。此光阻可与图1至3所示的三层光阻120类似,光阻中的开口可露出欲移除芯124的区域,且光阻可掩模不欲移除芯124的其他区域。接着可采用灰化步骤移除光阻。
在移除一些或全部的芯124之后,间隔物127可具有间距P2。在采用上述自对准双重图案化制程的实施例中,间距P2为光微影制程所能达到的最小间距的一半。间隔物127与牺牲材料138的组合定义的图案可用于硬掩模层108。图14是间隔物127与牺牲材料138定义的图案其上视图。图13A是沿着图14中剖线A-A的剖视图,而第13B图是沿着图14中剖线B-B的剖视图。在一些实施例中,间隔物127与牺牲材料138定义的图案,为后续形成的导电线路的负像。举例来说,围绕间隔物127与牺牲材料138定义的图案的空间,将对应后续形成的导电线路的图案。如图14所示,牺牲材料138跨越相邻的间隔物127之间的空间,且牺牲材料138定义截断负像图案的区域。举例来说,牺牲材料138可定义后续形成的导电线路之间的区域。
图15A、15B、16A、16B、17A、与17B为依据间隔物127与牺牲材料138定义的图案,图案化与沉积结构于目标层102中的剖视图。在图15A、15B、16A、16B、17A、与17B中,目标层102为介电层且图案化的导电结构形成于介电层中。末尾为「A」的附图是沿着图14的剖线A-A的剖视图,而末尾为「B」的附图是沿着图14的剖线B-B的剖视图。
首先如图15A与15B所示,采用间隔物127与牺牲材料138作为蚀刻掩模,依序蚀刻介电层110(见图13A与13B)及硬掩模层108。任何未移除的芯124(未图示)亦可作为蚀刻掩模以图案化硬掩模层108。如此一来,硬掩模层108在上视图中可具有与间隔物127及牺牲材料138相同的图案(见图14)。在一些实施例中,蚀刻硬掩模层108的步骤包含非等向干蚀刻及/或湿蚀刻。在图案化硬掩模层108之后,可进行湿式清洁步骤以移除任何残留的部份间隔物127、牺牲材料138、与介电层110。
在图16A与16B中,接着采用硬掩模层108作为蚀刻掩模,以图案化开口140于目标层102中,蚀刻目标层102的方法可包含非等向的干蚀刻制程及/或湿蚀刻制程,其依序蚀刻穿过抗反射涂层106至目标层102。目标层102的保留部份,可与图14的间隔物127及牺牲材料138具有相同图案。在图案化开口140之后,可进行清洁步骤以移除任何残留的部份硬掩模层108与抗反射涂层106。
在图案化开口140于目标层102中之后,可形成结构于开口中。在一实施例中,目标层102为低介电常数介电物,且图案化的目标层102可用于内连线结构的金属间介电物。导电结构如铜线路、铜通孔、及/或钴插塞可形成于金属间介电层中,如图17A与17B所示。导电结构的形成方法可包含沿着开口140的侧壁与下表面沉积一或多个衬垫物142,见图16A与16B。衬垫物142可包含氧化钛、氮化钛、氧化钽、氮化钽、或类似物,其可提供用于导电结构的扩散阻障、黏着、及/或晶种层。衬垫物的沉积方法可采用任何合适制程,比如物理气相沉积、化学气相沉积、原子层沉积、或类似方法。
在沉积衬垫物142之后,可将导电材料144如铜填入开口的其余部份,且导电材料144的形成方法可为物理气相沉积、电镀、或类似方法。可先沉积导电材料144以过填满开口140(见图16A与16B),接着进行平坦化制程以移除目标层102上的多余部份导电材料144。如此一来,可形成导电结构于目标层102中。在目标层102中的导电结构为导电线路的实施例中,牺牲材料138(见图14)可定义截断导电线路的区域。通过上述实施例,能可靠地形成相隔40nm、小于30nm、或更小距离的导电线路,其制程缺陷更少且良率更高。
图18A、18B、19A、19B、20A、与20B为其他实施例中,依据间隔物127与牺牲材料138定义的图案,图案化目标层102的剖视图。在图18A、18B、19A、19B、20A、与20B的实施例中,目标层102为半导体层或导电层,且图案化目标层102以定义结构(如鳍状物及/或栅极)。末尾为「A」的附图是沿着图14的剖线A-A的剖视图,而末尾为「B」的附图是沿着图14的剖线B-B的剖视图。
图18A与18B是依据实施例的图案化步骤,图案化硬掩模层108之后的装置。在图18A之前的多种制程步骤,与图1至15B的前述步骤类似,因此省略以简化说明。
如图18A与18B所示,在图案化硬掩模层108之后可沉积额外的硬掩模层180。硬掩模层180可沉积于延伸穿过硬掩模层108的开口中,因此硬掩模层180围绕硬掩模层108。硬掩模层180包含金属(如氮化钛、氧化钛、钛、氮化钽、氧化钽、钽、掺杂金属的碳化物如碳化钨、或类似物)及/或类金属(如氮化硅、氧化硅、氮化硼、碳化硅、或类似物),且其形成方法可为物理气相沉积、射频物理气相沉积、原子层沉积、或类似方法。然而硬掩模层180与硬掩模层108的材料不同,因此两者的材料对相同蚀刻制程具有蚀刻选择性。在沉积硬掩模层180之后,可进行平坦化制程(如化学机械研磨或干蚀刻制程)以露出硬掩模层108。
在图19A与19B中,采用适当的干式制程及/或湿式制程移除硬掩模层108。由于硬掩模层108与硬掩模层180不同且具有蚀刻选择性,因此可移除硬掩模层108而未明显移除硬掩模层180。如此一来,硬掩模层180具有硬掩模层108的负像(反向)图案。
接着在图20A与20B中,采用硬掩模层180作为蚀刻掩模以图案化目标层102。蚀刻目标层102的方法可包含非等向干蚀刻制程及/或湿蚀刻制程,其依序蚀刻穿过抗反射涂层106至目标层102。目标层102的保留部份可具有负向图案,如图14的间隔物127与牺牲材料138。在图案化目标层102之后,可进行湿式清洁步骤以移除任何残留的部份硬掩模层180及抗反射涂层106。如此一来,当目标层102为半导体层或导电层时,可定义鳍状物及/或栅极结构于目标层102中。
图21A、21B、22A、22B、23A、23B、24A、24B、25A、25B、26A、26B、27A、27B、28A、28B、29A、29B、与30是一些实施例中,形成半导体装置200的方法的多种中间阶段的剖视图。半导体装置200可与半导体装置100类似,且类似标号将用以标示类似制程形成的类似单元。在图21A、21B、22A、22B、23A、23B、24A、24B、25A、25B、26A、26B、27A、27B、28A、28B、29A、与29B中,末尾为「A」的附图为相同截面的剖视图;而末尾为「B」的附图分别为沿着图21A、22A、23A、24A、25A、26A、27A、28A、与29A中剖线B-B的剖视图。举例来说,图21B即沿着图21A中剖线B-B的剖视图,图22B即沿着图22A中剖线B-B的剖视图,以此类推。
在图21A与21B中,沉积牺牲材料138(有时亦称作反向材料)于底层128上及开口136中。用以形成图21A与21B之前的半导体装置200其结构的步骤,与前述图1至7B的步骤实质上相同,因此省略这些制程的额外叙述以简化说明。在一些实施例中,牺牲材料138亦沉积于中间层130上。在其他实施例中(未图示),在沉积牺牲材料138之前先移除中间层130。移除中间层130的方法可包含合适的干蚀刻制程,或干蚀刻制程与湿蚀刻制程的组合。在这些实施例中,
牺牲材料138可直接形成于(比如直接接触)底层128的顶部表面上。
在多种实施例中,牺牲材料138包含无机材料如氧化钛、氧化钽、氧化硅、或类似物。在一些实施例中,无机材料为低温氧化物,其为较低制程温度(如小于或等于200℃)沉积的氧化物。在这些实施例中,低温沉积制程不会对底层128造成明显损伤。在相同的蚀刻制程中,牺牲材料138与间隔物层126之间具有足够的蚀刻选择性。举例来说,一些实施例中的牺牲材料138与间隔物层126对相同的蚀刻制程的蚀刻速率比例为至少0.7。
牺牲材料138的形成方法可采用半导体膜沉积制程,比如化学气相沉积、物理气相沉积、原子层沉积、或类似方法。半导体膜沉积制程可为顺应性的制程,其可形成牺牲材料138于开口136的侧壁与下表面上。随着沉积持续,开口136的相反两侧壁上的部份牺牲材料138将合并并填满开口136。半导体膜沉积制程形成的牺牲材料138,可具有不平坦的上表面。举例来说,凹陷138b可存在于开口136之中及/或之上的牺牲材料138其上表面中。与半导体装置100中的凹陷138a(见图8A与8B)相较,凹陷138b较大。举例来说,凹陷138b可延伸至低于中间层130(若存在)的上表面,及/或低于底层128的上表面。
通过控制牺牲材料138的沉积制程的参数,可形成较大的凹陷138b。举例来说,选择牺牲材料138的厚度T1与开口136的宽度W3的适当比例,可控制凹陷138b的尺寸。一般而言,牺牲材料138的厚度T1与开口136的宽度W3之间的比例至少为0.5,可让开口136中的牺牲材料138具有足够的填隙能力。此外,增加牺牲材料138的厚度T1与开口136的宽度W3之间的比例,可让凹陷138b(或图8A与8B的凹陷138a)变得较小(比如变得较浅且延伸至开口136中的距离较短)。相反地,降低牺牲材料138的厚度T1与开口136的宽度W3之间的比例,可让凹陷138b(或图8A与8B的凹陷138a)变得较大(比如变得较深且延伸至开口136中的距离较长)。如此一来,控制深度T1与宽度W3的比例,即可控制牺牲材料138中的凹陷尺寸。
接着在图22A与22B中,进行平坦化制程如化学机械研磨、干蚀刻、或类似方法,以移除牺牲材料138超出开口136的多余部份。在牺牲材料138形成于中间层130上的实施例中,平坦化制程亦可移除中间层130。在平坦化制程之后可露出底层128,且牺牲材料138及底层128的上表面可共平面。由于凹陷138b相对大,平坦化制程不会移除牺牲材料138中的凹陷138b。如图22A与22B所示,平坦化制程后仍保留凹陷138b。
在图23A与23B中,采用灰化制程移除底层128。在移除底层128之后,保留牺牲材料138如柱状物。保留的牺牲材料138可掩模间隔物层126的选定区域。在一些实施例中,牺牲材料138可自一芯124上的间隔物层126的第一侧壁部份,跨越至另一芯124上的间隔物层126的第二侧壁部份。
在图24A与24B中,修整牺牲材料138使其具有所需轮廓。在一些实施例中,修整牺牲材料138的步骤会使牺牲材料138凹陷至低于间隔物层126的顶部表面,比如低于芯124的上表面。修整牺牲材料138的步骤可露出芯124上的部份间隔物层126,如图24A所示。在一些实施例中,修整牺牲材料138的步骤亦至少缩小牺牲材料138于剖线B-B的剖面中的宽度,如图24B所示。修整制程可转移凹陷138b的形状至修整后的结构。举例来说,在修整步骤之后,凹陷138b将保留于牺牲材料138中。在一些实施例中,修整制程甚至可让修整后的牺牲材料138中的凹陷138b增大。修整牺牲材料138的方法可包含干蚀刻制程,或干蚀刻制程与湿蚀刻制程的组合,如图11A与11B所述。
接着进行蚀刻制程移除间隔物层126其露出的水平部份以露出芯124。在蚀刻制程后,可保留间隔物层126的垂直部份以作为间隔物127。此外,蚀刻制程后亦可保留间隔物层126的掩模部份(比如牺牲材料138掩模的区域)。然而牺牲材料138中存在的凹陷138b,在间隔物蚀刻制程中会造成凹陷138b下的间隔物层126的掩模不足。如此一来,间隔物蚀刻制程可移除凹陷138b下的部份间隔物层126。在一些实施例中,蚀刻间隔物层126的步骤包含干蚀刻制程,比如图12A与12B的前述干蚀刻制程。上述步骤形成的结构如图25A与25B所示。
在图26A与26B中,采用蚀刻制程移除芯124。由于芯124、间隔物层126、与牺牲材料138对相同的蚀刻制程具有蚀刻选择性,因此可移除芯124而不移除间隔物层127或牺牲材料138。蚀刻芯124后可露出下方的介电层110,而介电层110可作为蚀刻停止层。在移除芯124后,间隔物127具有间距P2。在采用上述自对准双重图案化制程的实施例中,间距P2为光微影制程所能达到的最小间距的一半。间隔物127与牺牲材料138的组合定义的图案可用于硬掩模层108。
虽然图26A与26B中移除所有的芯124,但多种实施例可选择性地移除部份芯124。举例来说,晶片的特定区域(取决于装置布局)上形成的目标层102中,可不形成及/或移除芯124。为了达到选择性移除芯124的目的,可沉积光阻(未图示)于芯124、间隔物127、与牺牲材料138上。此光阻可与图1至3所示的三层光阻120类似,光阻中的开口可露出欲移除芯124的区域,且光阻可掩模不欲移除芯124的其他区域。接着可采用灰化步骤移除光阻。
如图27A与27B所示,采用间隔物127与牺牲材料138作为蚀刻掩模,并依序蚀刻介电层110(见图13A与13B)与硬掩模层108。如前所述,牺牲材料138具有凹陷138b,其可度过多种图案化步骤。凹陷138b可提供一些区域,即牺牲材料138非有力掩模处。如此一来,至少可露出凹陷138b下方的硬掩模层108的部份区域,且可图案化凹陷138b下方的这些区域。因此硬掩模108可具有开口108a,其对应凹陷138b。开口108a的尺寸可小于光微影技术所能达到的尺寸。在一些实施例中,蚀刻硬掩模层108的步骤包括非等向的干蚀刻及/或湿蚀刻。在图案化硬掩模层108之后,可进行湿式清洁步骤以移除任何残留的部份间隔物127、牺牲材料138、与介电层110。
在图28A与28B所示的一些实施例中,硬掩模层108作为蚀刻掩模,可用于图案化开口140于目标层102中。硬掩模层108中的开口108a可用于图案化开口140a于目标层102中。开口140a的尺寸(如宽度)可小于目标层102中的开口140。蚀刻目标层102的方法可包含非等向干蚀刻制程及/或湿蚀刻制程,其依序蚀刻穿过抗反射涂层106至目标层102。保留的部份目标层102可与间隔物127及牺牲材料138具有相同图案。在图案化开口140与140a之后,可进行湿式清洁步骤以移除任何残留的部份硬掩模层108与抗反射涂层106。
在图案化开口140于目标层102中之后,可形成结构于开口中。在一实施例中,目标层102为低介电常数介电物,且图案化的目标层102可用于内连线结构的金属间介电物。导电结构150如铜线路、铜通孔、及/或钴插塞可形成于金属间介电层中,如图29A与29B所示。形成导电结构150的方法,可与图17A与17B中形成衬垫物142与导电材料144的前述方法类似。
在其他实施例中,导电层102为导电层或半导体层,且可采用与图18A、18B、19A、19B、20A、与20B类似的制程图案化目标层102中硬掩模层108的反向图案。举例来说,可沉积额外硬掩模以围绕硬掩模层108,接着移除硬掩模层108,且额外硬掩模可用以图案化目标层102。最后形成的图案化目标层102具有硬掩模108的负像图案,而非正像图案。
在多种实施例中,通过刻意包含凹陷138b于牺牲材料中,并将凹陷138b的图案转移至下方的结构上,可定义小结构(如导电结构150a)于目标层102中。举例来说,图30为目标层102与导电结构150的上视图。如图30所示,导电结构150形成于目标层102中,而导电结构150a可具有小尺寸且比目标层102中的其他结构更靠近相邻的结构。
上述的多种实施例提供图案化制程,其采用半导体膜沉积制程以更可靠地形成缺陷较少的图案化牺牲层。如此一来,可在目标层中形成缺陷较少且良率较高的图案化微细结构。
在一实施例中,方法包括定义第一芯与第二芯于硬掩模层上。方法亦包括沿着第一芯与第二芯的侧壁及上方沉积间隔物层,并形成牺牲材料于第一芯与第二芯之间的间隔物层上。牺牲材料包括无机氧化物。方法亦包括移除间隔物层的第一水平部份,以露出第一芯与第二芯。间隔物层的保留部份提供多个间隔物于第一芯与第二芯的侧壁上。方法亦包括移除第一芯与第二芯,以及采用间隔物与牺牲材料作为蚀刻掩模,以图案化硬掩模层。
在一些实施例中,上述方法形成牺牲材料的步骤包括:形成图案化掩模于间隔物层上,且图案化掩模包括开口露出第一芯与第二芯之间的部份间隔物层;沉积牺牲材料于开口中;以及移除图案化掩模。
在一些实施例中,上述方法的牺牲材料其沉积温度小于或等于200℃。
在一些实施例中,上述方法沉积牺牲材料的步骤包括物理气相沉积制程、化学气相沉积制程、原子层沉积制程、或上述的组合。
在一些实施例中,上述方法的牺牲材料对蚀刻制程具有第一蚀刻速率,间隔物层对相同的蚀刻制程具有第二蚀刻速率,且第一蚀刻速率与第二蚀刻速率的比例为至少0.7。
在一些实施例中,上述方法的牺牲材料包含氧化钛、氧化钽、氧化硅、氮化硅、碳化硅、或上述的组合。
在一些实施例中,上述方法在移除间隔物层的第一水平部份时,牺牲材料掩模间隔物层的第二水平部份。
在一实施例中,方法包括图案化第一开口于芯层中。芯层位于目标层上。方法亦包括沿着第一开口的侧壁与下表面上沉积间隔物层。方法亦包括形成图案化掩模于间隔物层上。图案化掩模包含第二开口露出第一开口的下表面上的部份间隔物层。方法亦包含采用物理气相沉积、化学气相沉积、原子层沉积、或上述的组合沉积牺牲材料于第二开口中。方法亦包括移除图案化掩模。方法亦包括图案化间隔物层,以提供间隔物于芯层的侧壁上。方法亦包括移除芯层。方法亦包括将间隔物与牺牲材料的图案转移至目标层。
在一些实施例中,上述方法的牺牲材料为无机材料。
在一些实施例中,上述方法在图案化间隔物层时,牺牲材料掩模第一开口的下表面上的部份间隔物层。
在一些实施例中,上述方法形成图案化掩模于间隔物层上的步骤包括:沉积底层于间隔物层上;以及图案化第二开口以穿过底层。
在一些实施例中,上述方法更包括:沉积中间层于底层上;图案化第二开口以穿过中间层;以及在沉积牺牲层于第二开口中之前,先移除中间层。
在一些实施例中,上述方法更包括:沉积中间层于底层上;以及图案化第二开口以穿过中间层,其中沉积牺牲材料的步骤包括沉积部份的牺牲材料于中间层上。
在一些实施例中,上述方法更包括在移除图案化掩模之后修整牺牲材料,其中修整牺牲材料的步骤缩小牺牲材料在至少一剖面中的宽度。
在一些实施例中,上述方法修整牺牲材料的步骤包括干蚀刻制程、湿蚀刻制程、或上述的组合。
在一些实施例中,上述方法的干蚀刻制程包括采用碳氟基蚀刻剂,或者湿蚀刻制程包括采用稀氢氟酸作为蚀刻剂。
在一实施例中,方法包括图案化多个芯于硬掩模层上。方法亦包含沿着芯的侧壁与上方沉积间隔物层。方法亦包括形成图案化掩模于间隔物层上。图案化掩模包括开口露出相邻的芯之间的部份间隔物层。方法亦包括沉积牺牲材料于开口中的图案化掩模上。牺牲材料包含凹陷于牺牲材料的上表面中。方法亦包括移除图案化掩模,以及图案化间隔物层以露出芯。在图案化间隔物层以露出芯之后,保留沿着芯的侧壁的间隔物层其侧壁部份。方法亦包括移除芯,以及采用间隔物层的侧壁部份与牺牲材料作为蚀刻掩模,以图案化硬掩模层。
在一些实施例中,上述方法更包括图案化牺牲材料,其中图案化牺牲材料的步骤之后,凹陷保留于牺牲材料中。
在一些实施例中,上述方法在图案化间隔物层时,牺牲材料掩模间隔物层的第一水平部份与间隔物层的第二水平部份,且在图案化间隔物层时的凹陷至少部份地露出第一水平部份与第二水平部份之间的间隔物层其第三水平部份。
在一些实施例中,上述方法的牺牲材料为无机材料,且沉积牺牲材料的步骤包括物理气相沉积制程、化学气相沉积制程、原子层沉积制程、或上述的组合。
上述实施例的特征有利于本技术领域中具有通常知识者理解本发明。本技术领域中具有通常知识者应理解可采用本发明作基础,设计并变化其他制程与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中具有通常知识者亦应理解,这些等效置换并未脱离本发明精神与范畴,并可在未脱离本发明的申请专利范围的精神与范畴的前提下进行改变、替换、或更动。

Claims (1)

1.一种半导体装置的形成方法,包括:
定义一第一芯与一第二芯于一硬掩模层上;
沿着该第一芯与该第二芯的侧壁及上方沉积一间隔物层,
形成一牺牲材料于该第一芯与该第二芯之间的该间隔物层上,其中该牺牲材料包括一无机氧化物;
移除该间隔物层的第一水平部份,以露出该第一芯与该第二芯,其中该间隔物层的保留部份提供多个间隔物于该第一芯与该第二芯的侧壁上;
移除该第一芯与该第二芯;以及
采用该些间隔物与该牺牲材料作为一蚀刻掩模,以图案化该硬掩模层。
CN201710884386.0A 2017-04-28 2017-09-26 半导体装置的形成方法 Pending CN108807152A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762491614P 2017-04-28 2017-04-28
US62/491,614 2017-04-28
US15/641,009 2017-07-03
US15/641,009 US10340141B2 (en) 2017-04-28 2017-07-03 Patterning method for semiconductor device and structures resulting therefrom

Publications (1)

Publication Number Publication Date
CN108807152A true CN108807152A (zh) 2018-11-13

Family

ID=63797652

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710884386.0A Pending CN108807152A (zh) 2017-04-28 2017-09-26 半导体装置的形成方法

Country Status (5)

Country Link
US (1) US10340141B2 (zh)
KR (1) KR102108234B1 (zh)
CN (1) CN108807152A (zh)
DE (1) DE102017128235A1 (zh)
TW (1) TW201839852A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110718460A (zh) * 2019-11-26 2020-01-21 上海华力微电子有限公司 一种改善sadp中奇偶效应的工艺方法

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10636667B2 (en) * 2017-11-21 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor devices and structures thereof
TWI777063B (zh) * 2018-05-03 2022-09-11 日商東京威力科創股份有限公司 設計成使線寬粗糙度及線邊緣粗糙度最小化的臨界尺寸修整方法
DE102019120765B4 (de) * 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden eines halbleiterbauelements
US10763262B2 (en) * 2018-11-23 2020-09-01 Nanya Technology Corporation Method of preparing semiconductor structure
US11069564B2 (en) 2019-04-09 2021-07-20 International Business Machines Corporation Double metal patterning
US11257681B2 (en) * 2019-07-17 2022-02-22 International Business Machines Corporation Using a same mask for direct print and self-aligned double patterning of nanosheets
DE102020123934A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selbstausgerichtete doppelstrukturierung
US11676821B2 (en) * 2019-10-29 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning
US11373880B2 (en) 2020-09-22 2022-06-28 International Business Machines Corporation Creating different width lines and spaces in a metal layer
US20220102143A1 (en) * 2020-09-29 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Metal Hard Masks for Reducing Line Bending
US11715640B2 (en) 2020-09-30 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning material including silicon-containing layer and method for semiconductor device fabrication
US11688610B2 (en) * 2020-09-30 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Feature patterning using pitch relaxation and directional end-pushing with ion bombardment
US20220344217A1 (en) * 2021-04-22 2022-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor structure
US20220367253A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same
US20230178379A1 (en) 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Film deposition for patterning process
CN117545275B (zh) * 2024-01-08 2024-05-14 长鑫新桥存储技术有限公司 半导体结构的制作方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100316017B1 (ko) * 1998-12-24 2002-02-19 박종섭 상감기법을이용한미세금속패턴형성방법
KR100520188B1 (ko) * 2000-02-18 2005-10-10 주식회사 하이닉스반도체 부분적으로 가교화된 2층 포토레지스트용 중합체
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
KR100866723B1 (ko) * 2006-12-28 2008-11-05 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
KR100955265B1 (ko) * 2007-08-31 2010-04-30 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
KR20120004802A (ko) * 2010-07-07 2012-01-13 주식회사 하이닉스반도체 반도체 장치 제조 방법
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US8735296B2 (en) * 2012-07-18 2014-05-27 International Business Machines Corporation Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9053279B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern modification with a preferred position function
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US8954900B1 (en) * 2013-07-31 2015-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning mask decomposition method and system
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110718460A (zh) * 2019-11-26 2020-01-21 上海华力微电子有限公司 一种改善sadp中奇偶效应的工艺方法
CN110718460B (zh) * 2019-11-26 2022-06-14 上海华力微电子有限公司 一种改善sadp中奇偶效应的工艺方法

Also Published As

Publication number Publication date
US10340141B2 (en) 2019-07-02
US20180315601A1 (en) 2018-11-01
KR20180121327A (ko) 2018-11-07
KR102108234B1 (ko) 2020-05-11
DE102017128235A1 (de) 2018-10-31
TW201839852A (zh) 2018-11-01

Similar Documents

Publication Publication Date Title
CN108807152A (zh) 半导体装置的形成方法
TWI698929B (zh) 半導體裝置的圖案化方法
US9136106B2 (en) Method for integrated circuit patterning
TWI556066B (zh) 執行自對準微影蝕刻製程的方法
KR101576335B1 (ko) 집적 회로 패터닝 방법
US10170307B1 (en) Method for patterning semiconductor device using masking layer
CN106373880A (zh) 半导体元件及其形成方法
KR20160122695A (ko) 집적 회로 디바이스를 위한 스페이서 인에이블된 활성 분리
KR102650776B1 (ko) 반도체 패터닝 및 형성된 구조
TW201133548A (en) Method for forming fine pattern
CN108122982A (zh) 半导体装置的形成方法
KR20180061054A (ko) 에칭 마스크를 제거하는 방법
TW202109618A (zh) 圖案化半導體裝置的方法
TW202203295A (zh) 半導體裝置的形成方法及其用於製造積體電路的方法
US20240136184A1 (en) Method for forming and using mask
CN103390551A (zh) 半导体装置图案化结构的制作方法
CN109003937B (zh) 半导体存储器件的制作方法
KR20070113604A (ko) 반도체 소자의 미세패턴 형성방법
US8524608B1 (en) Method for fabricating a patterned structure of a semiconductor device
CN111834213A (zh) 半导体器件及其形成方法
US11848209B2 (en) Patterning semiconductor devices and structures resulting therefrom
TWI518743B (zh) 半導體裝置圖案化結構之製作方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20181113