KR20180061054A - 에칭 마스크를 제거하는 방법 - Google Patents

에칭 마스크를 제거하는 방법 Download PDF

Info

Publication number
KR20180061054A
KR20180061054A KR1020170160674A KR20170160674A KR20180061054A KR 20180061054 A KR20180061054 A KR 20180061054A KR 1020170160674 A KR1020170160674 A KR 1020170160674A KR 20170160674 A KR20170160674 A KR 20170160674A KR 20180061054 A KR20180061054 A KR 20180061054A
Authority
KR
South Korea
Prior art keywords
layer
mask
patterned
mandrel
cleaning process
Prior art date
Application number
KR1020170160674A
Other languages
English (en)
Inventor
나이-치아 첸
주이-밍 시
천-한 추
핑-정 황
청-민 추오
비-밍 옌
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20180061054A publication Critical patent/KR20180061054A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

예시적인 방법은 타겟층 위에 패터닝된 에칭 마스크를 형성하는 단계 및 상기 패터닝된 에칭 마스크를 마스크로서 사용하여 상기 타겟층을 패터닝하여 패터닝된 타겟층을 형성하는 단계를 포함한다. 상기 방법은 상기 패터닝된 에칭 마스크 및 상기 패터닝된 타겟층에 제1 클리닝 공정을 수행하는 단계 - 상기 제1 클리닝 공정은 제1 용액을 포함함 - 를 더 포함한다. 상기 방법은 상기 패터닝된 에칭 마스크를 제거하고 노출된 패터닝된 타겟층을 형성하도록 제2 클리닝 공정을 수행하는 단계 - 상기 제2 클리닝 공정은 제2 용액을 포함함 - 를 추가적으로 포함한다. 상기 방법은 또한 상기 노출된 패터닝된 타겟층에 제3 클리닝 공정을 수행하는 단계, 및 상기 노출된 패터닝된 타겟층에 제4 클리닝 공정을 수행하는 단계 - 상기 제4 클리닝 공정은 상기 제1 용액을 포함함 - 를 포함한다.

Description

에칭 마스크를 제거하는 방법 {METHOD OF REMOVING AN ETCH MASK}
본 출원은 2016년 11월 29일자로 출원되고 발명의 명칭이 "에칭 마스크를 제거하는 방법"인 미국 가출원 제62/427,704호의 이익을 주장하며, 그 내용은 본원에 참고로 병합된다.
반도체 디바이스는 예를 들어 퍼스널 컴퓨터, 셀 폰, 디지털 카메라 및 다른 전자 장치와 같은 다양한 전자 응용에 사용된다. 반도체 디바이스는 전형적으로 반도체 기판 위에 재료로 이루어진 절연 또는 유전체층, 도전층 및 반도체층을 순차적으로 피착시키고, 리소그래피를 사용하여 다양한 재료층을 패터닝하여 회로 컴포넌트 및 소자를 형성함으로써 제조된다.
반도체 산업은 더 많은 부품이 주어진 영역에 통합될 수 있게 하는 최소 피처 크기의 연속적인 감소에 의해 다양한 전자 부품(예를 들어, 트랜지스터, 다이오드, 레지스터, 커패시터 등)의 집적 밀도를 지속적으로 향상시키고 있다. 그러나 최소 피처 크기가 감소됨에 따라, 해결해야 할 추가 문제가 발생한다.
본 발명의 양태는 첨부된 도면과 함께 읽을 때 다음의 상세한 설명으로부터 가장 잘 이해될 수 있다. 업계의 표준 관행에 따르면, 다양한 특징들이 일정한 비율로 도시되지 않는다는 점을 유의해야 한다. 실제로, 다양한 특징의 치수는 설명의 명료성을 위해 임의로 증가되거나 또는 감소될 수 있다.
도 1a, 도 1b 및 도 2 내지 도 27은 일부 실시예에 따른 반도체 구조의 제조에서의 다양한 중간 스테이지의 평면도 및 단면도를 도시한다.
이하의 개시는 본 발명의 상이한 특징을 구현하기 위한 많은 상이한 실시예들 또는 예들을 제공한다. 본 개시를 간단히 하기 위해 구성 요소 및 장치의 특정 예가 아래에 설명된다. 이들은 물론 예시일 뿐이고, 제한하도록 의도되지 않는다. 예를 들어, 이하의 설명에서 제2 피처 위에 또는 제2 피처 상에 제1 피처를 형성하는 것은 제1 피처 및 제2 피처가 직접 접촉하여 형성되는 실시예를 포함할 수 있으며, 제1 및 제2 피처가 직접 접촉하지 않을 수 있도록 제1 피처와 제2 피처 사이에 추가의 피처가 형성될 수 있는 실시예도 또한 포함할 수 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순성 및 명료함을 목적으로 하며, 논의된 다양한 실시예들 및/또는 구성들 간의 관계를 그 자체로 규정하지는 않는다.
또한, "아래에 있는(beneath)", "아래에(below)", "하부(lower)", "위에(over)" "상부(upper)" 등과 같은 공간적으로 상대적인 용어는 본 명세서에서 도면에 도시된 바와 같은 다른 요소(들) 또는 특징(들)에 대한 하나의 요소 또는 특징의 관계를 설명하기 위해 설명의 용이함을 목적으로 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시된 배향 외에 사용 또는 작동 중인 디바이스의 상이한 배향을 포함하도록 의도된다. 장치는 다르게 배향될 수도 있고(90도 또는 다른 배향으로 회전될 수 있음), 본 명세서에서 사용되는 공간적으로 상대적인 기술어도 마찬가지로 유사하게 해석될 수 있다.
도 1a, 도 1b 및 도 2 내지 도 27은 일부 예시적인 실시예에 따른 타겟층(예를 들어, 하위 맨드렐층)에서 피처의 형성에서의 중간 스테이지의 평면도 및 단면도를 도시한다. 일부 도면은 동일한 도면에서 웨이퍼(100)의 평면도 및 단면도를 포함하며, 평면도에서의 도시된 피처의 에지는 각각의 단면도에서의 도시된 피처의 에지와 실질적으로 정렬될 수 있다.
도 1a는 일 실시예에 따라 반도체 디바이스를 패터닝하는 중간 스테이지의 웨이퍼(100)를 도시한다. 도 1a는 프로세싱의 중간 스테이지에서 반도체 디바이스의 평면도 및 단면도를 도시한다. 웨이퍼(100)는 기판(120)을 포함한다. 기판(120)은 예를 들어 도핑되거나 또는 도핑되지 않은 벌크 실리콘, 또는 반도체-온-절연체(SOI) 기판의 활성층을 포함할 수 있다. 일반적으로, SOI 기판은 절연체층 상에 형성된 실리콘과 같은 반도체 재료의 층을 포함한다. 절연체층은 예를 들어, 매립 산화물(BOX)층 또는 실리콘 산화물층일 수 있다. 절연체층은 실리콘 또는 유리 기판과 같은 기판 상에 제공된다. 대안적으로, 기판(120)은 게르마늄과 같은 다른 기본 반도체; 실리콘 카바이드, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비화물 및/또는 인듐 안티몬화물을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 합금 반도체; 또는 이들의 조합물을 포함할 수 있다. 다층 기판 또는 그래디언트 기판과 같은 다른 기판도 사용될 수 있다.
도 1a에 도시된 바와 같이, 웨이퍼는 기판(120) 위에 형성된 에칭 정지층(26)을 포함한다. 에칭 정지층(26)은 복수의 층을 포함할 수 있다. 에칭 정지층(26)은 에칭 정지층(26) 위에 있는 타겟층(28)의 패턴을 기판(120)으로 전사시키는 후속 에칭을 위한 마스크(예를 들어, 3중층 마스크)로서 작용할 수 있다. 도 1b를 참조하면, 에칭 정지층(26)은 산화물(예를 들어, 실리콘 산화물)로 형성된 박막일 수 있는 제1 패드층(26a)을 포함할 수 있다. 따라서, 제1 패드층(26a)은 패드 산화물층으로 지칭될 수 있다. 본 발명의 일부 실시예에 따르면, 패드 산화물층(26a)은 기판(120)의 상단 표면층이 산화되는 열 산화 공정에서 형성된다. 패드 산화물층(26a)은 약 10 Å과 약 50 Å 사이(예를 들어, 약 25 Å)의 두께를 가질 수 있다.
패드 산화물층(26a)은 예를 들어 저압 화학 기상 증착(LPCVD)을 사용하여 질화물(예를 들어, 실리콘 질화물)로 형성될 수 있는 제2 패드층(26b)과 기판(120) 사이의 접착층으로서 작용할 수 있다. 따라서, 제2 패드층(26b)은 패드 질화물층으로 지칭될 수 있다. 본 발명의 다른 실시예에 따르면, 패드 질화물층(26b)은 실리콘의 열적 질화, 플라즈마 강화 화학 기상 증착(PECVD) 또는 플라즈마 양극 질화에 의해 형성된다. 패드 질화물층(26b)은 약 200 Å과 약 300 Å 사이(예를 들어, 약 260 Å)의 두께를 가질 수 있다. 본 발명의 일부 실시예에 따르면, 에칭 정지층(26)은 패드 질화물층(26b) 위에 형성된 산화물층(26c)을 포함할 수 있다. 일부 실시예에 따르면, 산화물층(26c)은 실리콘 산화물을 포함할 수 있으며, 예를 들어, PECVD 또는 화학 기상 증착(CVD)을 사용하여 형성될 수 있다. 산화물층(26c)은 약 400 Å과 약 800 Å 사이(예를 들어, 약 600 Å)의 두께를 가질 수 있다. 타겟층(28)은 에칭 정지층(26) 위에 추가로 형성된다. 일부 실시예에서, 타겟층(28)은 본 발명의 실시예에 따라 복수의 패턴이 내부에 형성되는 후속 단계에서 에칭되는 층이다. 일부 실시예에서, 타겟층(28)은 비정질 실리콘, 비결정성 탄소, AlOxNy, 하위 에칭 정지층(26) 등과 높은 에칭 선택도를 갖는 다른 재료, 또는 그 조합을 포함할 수 있으며, CVD, 원자층 증착(ALD) 등, 또는 이들의 조합을 사용하여 형성될 수 있다. 타겟층(28)은 일부 실시예에서는 제1 맨드렐층 또는 하위 맨드렐층으로 지칭될 수 있다.
도 1a에 도시된 바와 같이, 제1 마스크층(32)은 타겟층(28) 위에 놓일 수 있고, 제2 마스크층(34)은 제1 마스크층(32) 위에 놓일 수 있다. 일부 실시예에서, 제1 마스크층(32)은 제1 하드 마스크층일 수 있고, 제2 마스크층(34)은 제2 하드 마스크층일 수 있다. 제1 마스크층(32)은 실리콘 질화물, 티탄 질화물, 티탄 산화물 등 또는 이들의 조합을 포함할 수 있으며, CVD, PVD, ALD 등, 또는 이들의 조합을 이용하여 형성될 수 있다. 일부 실시예에서, 제1 마스크층(32)은 약 100 Å과 약 500 Å 사이의 두께를 가질 수 있다. 제2 마스크층(34)은 테트라에틸 오르소실리케이트(TEOS), 탄소-도핑된 실리콘 산화물(SiCOH), SiOxCy 등 또는 이들의 조합을 포함할 수 있으며, 스핀-온 코팅, CVD, ALD 등, 또는 이들의 조합을 사용하여 형성될 수 있다. 일부 실시예에서, 제2 마스크층(34)은 약 100 Å과 약 500 Å 사이의 두께를 가질 수 있다. 일부 실시예에서, 제1 마스크층(32) 및 제2 마스크층(34)을 위한 재료는 제1 마스크층(32) 및 제2 마스크층(34)이 후속의 패터닝 공정을 위해 원하는 에칭 속도를 갖도록 선택된다. 아래에서 보다 상세하게 설명되는 바와 같이, 제2 마스크층(34)은 복수의 패턴을 제2 마스크층(34)으로 전사함으로써 패터닝된다. 이어서, 제2 마스크층(34)의 복수의 패턴이 제1 마스크층(32)으로 전사되고, 제1 마스크층(32)의 패턴은 이후에 타겟층(28)으로 전사된다.
제2 맨드렐층(36)은 제2 마스크층(34) 위에 형성된다. 일부 실시예에서, (상위 맨드렐층으로 지칭될 수 있는) 제2 맨드렐층(36)은 비정질 실리콘, 비정질 탄소, AlOxNy, 하위의 제2 마스크층(34) 등과 높은 에칭 선택도를 갖는 다른 재료, 또는 이들의 조합을 포함할 수 있고, CVD, ALD 등, 또는 이들의 조합을 사용하여 형성될 수 있다.
제2 맨드렐층(36) 위에는 하부층(때로는 바닥층이라 칭함)(38), 하부층(38) 위의 중간층(40) 및 중간층(40) 위의 상부층(42)을 포함하는 제1 3중층 마스크가 배치된다. 하부층(38)은 스핀-온 카본(SOC) 재료와 같은 유기 재료 등을 포함할 수 있으며, 스핀-온 코팅, CVD, ALD 등을 이용하여 형성될 수 있다. 일부 실시예에서, 하부층(38)의 두께는 약 500 Å과 약 2000 Å 사이일 수 있다. 중간층(40)은 질화물(예를 들어 SiN, TiN, TaN 등), 산질화물(예를 들어 SiON), 산화물(예를 들어, 실리콘 산화물) 등일 수 있는 무기 재료를 포함할 수 있고, CVD, ALD 등을 사용하여 형성될 수 있다. 일부 실시예에서, 중간층(40)의 두께는 약 100 Å과 약 400 Å 사이일 수 있다. 상부층(42)은 포토레지스트 재료와 같은 유기 재료를 포함할 수 있으며 스핀-온 코팅 등을 사용하여 형성될 수 있다. 일부 실시예에서, 상부층(42)의 두께는 약 500 Å과 약 1500 Å 사이일 수 있다. 일부 실시예에서, 중간층(40)은 상부층(42)보다 높은 에칭 속도를 가지며, 상부층(42)은 중간층(40)의 패터닝을 위한 에칭 마스크로서 사용된다. 하부층(38)은 중간층(40)보다 높은 에칭 속도를 갖고, 중간층(40)은 하부층(38)의 패터닝을 위한 에칭 마스크로서 사용된다.
상부층(42)의 도포 후에, 상부층(42)은 내부에 개구(44)를 형성하도록 패터닝된다. 상부층(42)은 적절한 포토리소그래피 기술을 사용하여 패터닝된다. 상부층(42)이 포토레지스트 재료를 포함하는 일부 실시예에서, 포토레지스트 재료는 조사(노광)되고 현상되어 포토레지스트 재료의 부분들을 제거한다. 일부 실시예에서, 개구(44) 각각은 약 30 nm와 약 50 nm 사이의 폭(W1), 및 약 60 nm와 약 6000 nm 사이의 길이(L1)를 갖는다. 웨이퍼(100)의 평면도(도 1a에도 도시됨)에 도시된 바와 같이, 개구(44)는 스트립 형상을 가질 수 있다. 일부 실시예에서, 개구(44)의 피치(P1)는 개구(44)의 폭(W1)의 약 3배이다. 설명 전체에 걸쳐, 개구(44)의 패턴은 또한 라인-A1 패턴으로 지칭된다.
이어서, 상부층(42)의 패턴을 제2 맨드렐층(36)으로 전사하도록 제1 에칭 공정이 수행되어, 도 2에 도시된 구조를 얻는다. 에칭 단계 동안, 상부층(42), 중간층(40), 및 하부층(38)이 소모될 수 있다. 패터닝 후에 상부층(42), 중간층(40) 및 하부층(38)의 임의의 잔류물이 남겨지면, 잔류물도 제거된다. 에칭은 이방성이어서, 제2 맨드렐층(36)의 개구(44)는 상부층(42)(도 1a)의 각각의 개구(44)와 동일한 크기를 갖는다. 하나 이상의 에칭 공정은 등방성 습식 에칭 프로세스, 이방성 건식 에칭 프로세스 또는 이들의 조합을 포함할 수 있다. 도 2의 제2 맨드렐층(36)의 나머지 부분은 중간 맨드렐(36A, 36B)을 포함하는 중간 맨드렐로 불린다.
도 3을 참조하면, 제1 스페이서층(46)은 중간 맨드렐(36A, 36B) 위에서 개구(44) 내에 (예를 들어 ALD에 의해) 컨포멀하게 형성된다. 따라서, 개구(44)의 폭 및 길이는 제1 스페이서층(46)의 두께(T1)의 약 2배만큼 감소된다. 제1 스페이서층(46)은 산화물(예를 들어 실리콘 산화물, 알루미늄 산화물, 티탄 산화물 등), 질화물(예를 들어 SiN, 티탄 질화물 등), 산질화물(예를 들어 SiON 등), 산탄화물(예를 들어 SiOC 등), 탄질화물(예를 들어 SiCN 등) 등, 또는 이들의 조합을 포함할 수 있고, CVD 또는 PECVD, ALD 등, 또는 이들의 조합을 사용하여 형성될 수 있다. 일부 실시예에서, 제1 스페이서층(46)의 두께(T1)는 약 100 Å과 약 200 Å 사이일 수 있다.
도 4를 참조하면, 제1 스페이서층(46)은 패터닝되어 개구(44)의 측벽 상에 제1 스페이서(48)를 형성한다. 일부 실시예에서, 중간 맨드렐(36A, 36B)의 상부 표면 및 개구(44)의 바닥으로부터 제1 스페이서층(46)의 수평 부분을 제거하기 위해 이방성 건식 에칭 공정을 사용하여 제1 스페이서층(46)이 패터닝된다. 개구(44)의 측벽 상에 잔류하는 제1 스페이서층(46)의 부분은 제1 스페이서(48)를 형성한다. 일부 실시예에서, 제1 스페이서층(46)은 Cl2, O2, CxHyFz, N2, H2, HBr, Cl2, He 등, 또는 이들의 조합을 포함하는 에칭 프로세스 가스에 의한 건식 에칭 공정에 의해 패터닝된다. 다음에, 중간 맨드렐(36A, 36B)이 제거될 수 있다. 중간 맨드렐(36A, 36B)을 제거하는 이러한 공정은 도 5 및 도 6에 도시된다.
도 5를 참조하면, 중간 맨드렐(36A, 36B) 및 제1 스페이서(48) 위에 제2 3중층 마스크가 형성된다. 제2 3중층 마스크는 하부층(50), 하부층(50) 위의 중간층(52), 및 중간층(52) 위의 상부층(54)을 포함한다. 일부 실시예에서, 하부층(50), 중간층(52), 및 상부층(54)은 각각 도 1a를 참조하여 전술한 제1 3중층 마스크의 하부층(38), 중간층(40) 및 상부층(42)과 유사한 재료 및 방법을 이용하여 형성될 수 있고, 설명은 간결함을 위해 본 명세서에서 반복되지 않는다. 일부 실시예에서, 하부층(50)의 두께는 약 500 Å과 약 2000 Å 사이일 수 있고, 중간층(52)의 두께는 약 100 Å과 약 400 Å 사이일 수 있으며, 상부층(54)의 두께는 약 500 Å과 약 1500 Å 사이일 수 있다. 중간 맨드렐(36A, 36B)의 개구(44)가 상부층(54)에 의해 보호되도록 개구(56)를 형성하기 위해 상부층(54)이 패터닝된다. 일부 실시예에서, 상부층(54)은 도 1a를 참조하여 전술한 제1 3중층 마스크의 상부층(42)과 유사한 방법을 사용하여 패터닝될 수 있으며, 설명은 간결함을 위해 본 명세서에서 반복되지 않는다. 도시된 실시예에서, 3개의 개구(56)가 상부층(54)에 형성된다. 다른 실시예에서, 3개 미만 또는 초과의 개구(56)가 상부층(54)에 형성될 수 있다.
도 6을 참조하면, 패터닝 공정이 수행되어 중간 맨드렐(36A, 36B)을 제거한다. 일부 실시예에서, 패터닝 공정은 하나 이상의 에칭 공정을 포함하며, 여기서 제2 3중층 마스크 및 제1 스페이서(48)는 이전에 중간 맨드렐(36A, 36B)에 의해 점유된 위치에 개구(58)를 형성하도록 조합된 에칭 마스크로서 사용된다. 하나 이상의 에칭 공정은 등방성 습식 에칭 공정, 이방성 건식 에칭 공정 또는 이들의 조합을 포함할 수 있다. 중간 맨드렐(36A, 36B)을 제거하기 위해 사용되는 패터닝 공정 동안, 상부층(54), 중간층(52) 및 하부층(50)이 소모될 수 있다. 개구(58)의 패턴은 또한 라인-B(LB) 패턴으로 지칭될 수도 있다. 따라서, 도 5를 참조하여 기술된 포토리소그래피 공정은 또한 LB 포토리소그래피로 지칭될 수도 있으며, 도 6을 참조하여 기술된 에칭 공정은 또한 LB 에칭으로 지칭될 수도 있다.
도 7을 참조하면, 개구(58, 44)가 제2 마스크층(34) 내로 연장되도록, 하위 제2 마스크층(34)을 에칭하도록 에칭 마스크로서, 패터닝 공정과 함께, 제1 스페이서(48)가 사용된다. 패터닝 공정은 제1 마스크층(32)이 에칭 정지층으로서 사용되는 하나 이상의 에칭 공정을 포함할 수 있다. 일부 실시예에서, 패터닝 공정은 O2, CO2, CxHyFz, Ar, N2, H2, HBr, Cl2, He 등 또는 이들의 조합을 포함하는 에칭 프로세스 가스, 또는 제1 마스크층(32)을 손상시키지 않고 제2 마스크층(34)의 노출된 부분을 제거할 수 있는 임의의 다른 적합한 에칭제에 의한 건식 에칭 공정을 포함할 수 있다.
도 8을 참조하면, 제1 스페이서(48)는 예를 들어 하나 이상의 적절한 에칭 공정을 사용하여 선택적으로 제거될 수 있다. 일부 실시예에서, 제1 스페이서(48)는 예를 들어 O2, Cl2, CO2, CxHyFz, Ar, N2, H2 등 또는 이들의 조합을 포함하는 에칭 프로세스 가스에 의한 건식 에칭 공정을 사용하여 제거될 수 있다.
도 9를 참조하면, 패터닝 공정이 제1 마스크층(32)에 수행되어 개구(58, 44)를 제1 마스크층(32)에 전사한다. 패터닝 공정은 각각의 개구(58, 44)에 대응하는 제1 마스크층(32)의 개구를 형성한다. 제1 마스크층(32)의 개구는 (예를 들어, 비정질 실리콘을 포함하는) 타겟층(28)의 부분들을 노출시킨다. 일부 실시예에서, 패터닝 공정은 제2 마스크층(34)이 에칭 마스크로서 사용되는 적합한 에칭 공정을 포함한다. 적합한 에칭 공정은 등방성 습식 에칭 공정, 이방성 건식 에칭 공정, 또는 이들의 조합을 포함할 수 있다. 일부 실시예에서, 제1 마스크층(32)은 예를 들어 Cl2, O2, CxHyFz, N2, H2 등 또는 이들의 조합을 포함하는 에칭 프로세스 가스에 의한 건식 에칭 공정을 사용하여 패터닝된다. 이어서, 제2 마스크층(34)은 예를 들어 적절한 에칭 공정을 사용하여 제거된다. 일부 실시예에서, 제2 마스크층(34)은 예를 들어 O2, CO2, CxHyFz, Ar, N2, H2 등 또는 이들의 조합을 포함하는 에칭 프로세스 가스에 의한 건식 에칭 공정을 사용하여 제거된다.
도 10을 참조하면, 제1 마스크층(32)의 패턴을 타겟층(28)으로 전사하기 위해 타겟층(28)에 패터닝 공정이 수행된다. 패터닝 공정은 에칭 정지층(26)의 일부를 노출시키기 위해 타겟층(28) 내로 개구(44, 58)를 연장시킨다. 일부 실시예에서, 패터닝 공정은 제1 마스크층(32)(전술한 바와 같이, 실리콘 질화물과 같은 질화물을 포함할 수 있음)이 에칭 마스크로서 사용되는 하나 이상의 적절한 에칭 공정을 포함한다. 하나 이상의 적합한 에칭 공정은 등방성 습식 에칭 공정, 이방성 건식 에칭 공정 또는 이들의 조합을 포함할 수 있다.
도 4를 참조하여 상술한 바와 같이, 제1 스페이서(48)는 예를 들어 O2, Cl2, CO2, CxHyFz, Ar, N2, H2 등, 또는 이들의 조합을 포함하는 에칭 프로세스 가스에 의한 건식 에칭 공정을 사용하여 에칭될 수 있다. 또한, 도 9를 참조하여 전술한 바와 같이, 제2 마스크층(34)은 예를 들어, O2, CO2, CxHyFz, Ar, N2, H2 등, 또는 이들의 조합을 포함하는 에칭 프로세스 가스에 의한 다른 건식 에칭 공정을 사용하여 제거될 수 있다. 또한, 도 10을 참조하여 상술한 바와 같이, 등방성 습식 에칭 공정, 이방성 건식 에칭 공정, 또는 이들의 조합을 사용하여 타겟층(28)을 패터닝할 수 있다.
선행하는 단계들의 이러한 에칭 공정은 에칭 정지층(26)의 노출된 부분(예를 들어, 에칭 정지층(26)의 산화물층(26c)), 타겟층(28), 및 제1 마스크층(32)의 적어도 일 부분 위의 잔류층(60)을 생성할 수 있다. 잔류층(60)은 탄소, 불소 또는 이들의 화합물(예를 들어, CFx와 같은 플루오로카본 화합물)을 포함할 수 있다. 잔류층(60)은 웨이퍼(100)로부터 제거되지 않으면 패턴 파손을 유도할 수 있는 결함이다. 결과적으로, 웨이퍼(100)로부터의 잔류층(60)의 존재를 감소시키거나 또는 실질적으로 제거하기 위해 클리닝 공정이 필요할 수 있다.
도 11은 일 실시예에 따라, 도 10에 도시된 구조 상에서 수행될 수 있는 제1 클리닝 공정(62)을 도시한다. 제1 클리닝 공정(62)은 제1 용액을 이용하는 습식 클리닝 공정일 수 있다. 일부 실시예에서, 제1 용액은 물, 과산화수소 및 수산화암모늄을 포함하는 혼합물일 수 있다. 일부 실시예에서, 수산화암모늄의 농도는 약 10 백만분율(parts per million)과 500 백만분율 사이일 수 있다. 일 예로서, 제1 클리닝 공정(62)은 표준 클리닝 1(SC1) 공정일 수 있다. 제1 클리닝 공정(62)은 잔류층(60)의 실질적인 부분을 제거하여 제1 마스크층(32)의 적어도 일부를 노출시킬 수 있다. 그러나, 도 12에 도시된 바와 같이, 잔류층(60)의 일부는 타겟층(28) 및 제1 마스크층(32)의 측벽 상에 유지될 수 있다. 일부 실시예에서, 제1 클리닝 공정(62)는 실온(예를 들어, 약 25 ℃)에서 수행될 수 있다.
도 13은 일 실시예에 따라, 제1 마스크층(32)을 제거하도록 수행될 수 있는 제2 클리닝 공정(64)을 도시한다. 제2 클리닝 공정(64)은 제2 용액을 이용하는 습식 클리닝 공정일 수 있다. 일부 실시예에서, 제2 용액은 산성 용액일 수 있다. 예로서, 제2 용액은 인산일 수 있으며, 인산은 약 80 중량%와 약 90 중량% 사이의 농도를 가질 수 있다. 제2 클리닝 공정(64)은 약 100 ℃와 약 200 ℃ 사이의 범위의 온도에서 수행될 수 있다. 산성 용액을 사용하거나 또는 표시된 온도 범위에서 제2 클리닝 공정(64)을 수행하는 효과는 잔류층(60)의 재료가 응집되게 하여 타겟층(28)의 측벽 상에 남아있는 잔류 응축물(66)을 형성하게 하는 것일 수 있다. 이어서, 잔류 응축물(66)의 제거가 도 14 내지 도 16과 관련하여 후술되는 바와 같이 수행된다.
도 14는 일 실시예에 따라, 웨이퍼(100) 상에서 수행되는 제3 클리닝 공정(68)의 축소된 도면을 도시한다. 도 14에 도시된 바와 같이, 웨이퍼(100)는 챔버(72) 내에 배치된 지지체(70) 위에 배치될 수 있다. 도 14에 도시된 바와 같은 예와 같은 일부 실시예에서, 지지체(70)는 도 14에 도시된 바와 같이, 탈이온수(76)를 웨이퍼(100)의 후면으로 보내는데 사용될 수 있는 적어도 하나의 도관(74)을 포함할 수 있다. 일부 실시예에서, 웨이퍼(100)의 후면은 기판(120)으로부터 멀리 향하는 웨이퍼(100)의 표면일 수 있다. 일부 실시예에서, 탈이온수(76)는 이산화탄소를 포함할 수 있다. 이러한 실시예에서, 그 내부에 이산화탄소를 갖는 탈이온수(76)는 이전 프로세싱 단계의 결과로서 웨이퍼(100) 상에 축적될 수 있는 정전기를 방전시키는데 사용될 수 있다.
이제 도 15를 참조하면, 제3 클리닝 공정(68)은 웨이퍼(100)의 전면에 탈이온수(76)를 더 가함으로써 계속된다. 일부 실시예에서, 웨이퍼(100)의 전면은 기판(120) 및 후속 층들이 형성되는 웨이퍼(100)의 표면일 수 있다. 탈이온수(76)는 웨이퍼(100) 위에 배치된 노즐(78)을 사용하여 웨이퍼(100)의 전면에 분산(dispense)될 수 있다. 도 14 및 도 15에 도시된 공정의 조합은 이전 프로세싱 단계의 결과로서 웨이퍼(100) 상에 축적되었을 수 있는 정전기를 천천히 방전시킴으로써, 웨이퍼(100)로부터 정전기가 방전됨에 의해 형성되는 결함을 방지하거나 또는 결함의 개수를 실질적으로 감소시키는 효과를 가질 수 있다. 일부 예에서, 제3 클리닝 공정(68)은 실온(예를 들어, 약 25 ℃)에서 수행될 수 있다.
도 16은 실시예에 따라, 웨이퍼(100) 상에서 수행되는 제4 클리닝 공정(80)을 도시한다. 제4 클리닝 공정(80)에서, 제1 클리닝 공정(62)에서 사용된 제1 용액(82)이 웨이퍼(100)에 다시 가해진다. 특히, 지지체(70)의 도관(74)은 제1 용액(82)을 웨이퍼(100)의 후면으로 보낸다. 또한, 노즐(78)은 제1 용액(82)을 웨이퍼(100)의 전면 위에 분산시킨다. 일부 실시예에서, 웨이퍼(100)의 후면 및 전면에는 동시에 제1 용액(82)이 가해진다. 도 16에 도시된 예에서, 노즐(78)과 다른 분사 기구(84)가 노즐(78)과 함께 사용되어 제1 용액(82)을 분산시킬 수 있다. 일부 실시예에서, 제1 용액(82)은 물, 과산화수소 및 수산화암모늄을 포함하는 혼합물일 수 있고, 수산화암모늄은 약 10 백만분율과 약 500 백만분율 사이의 농도를 갖는다. 추가적으로 또는 대안적으로, 수산화암모늄은 제4 클리닝 공정(80)이 수행될 때 약 20 ℃와 약 70 ℃ 사이의 온도를 가질 수 있다.
도 14 내지 도 16에 도시된 클리닝 공정에 의해 제공되는 효과는 잔류 응축물(66)이 도 13에 도시된 패터닝된 타겟층(28)의 측벽으로부터 실질적으로 제거된다는 것이다. 이와 같이 웨이퍼(100) 상의 플루오로카본(예를 들어 CFx)의 존재가 제거되거나 또는 실질적으로 감소되어, 웨이퍼(100)의 결함 카운트를 개선시킨다. 추가로, 도 14 내지 도 16에 도시된 클리닝 공정은 현재 이용 가능한 웨이퍼 클리닝 시스템과 함께 사용될 수 있고, 이에 따라 기존 시스템의 수리 또는 재구성에 대한 필요성을 피할 수 있다.
도 17은 도 14 내지 도 16에 도시된 클리닝 공정 후에 얻어진 구조를 도시한다. 도 18을 참조하면, 제2 스페이서층(200)은 타겟층(28) 및 에칭 정지층(26)의 노출된 부분 위에 컨포멀하게 형성된다. 제2 스페이서층(200)은 제1 스페이서층(46)(예를 들어 도 3에 도시됨)과 유사한 재료를 포함할 수 있고, 도 3을 참조하여 전술한 바와 유사한 단계들을 사용하여 형성될 수 있다.
도 19를 참조하면, 제2 스페이서층(200)은 패터닝되어 타겟층(28)의 측벽 상에 제2 스페이서(202)를 형성한다. 일부 실시예에서, 제2 스페이서층(200)은 제2 스페이서층(200)의 수평 부분을 타겟층(28)의 상부 표면 및 에칭 정지층(26)의 노출된 표면으로부터 제거하기 위해 이방성 건식 에칭 공정을 사용하여 패터닝된다. 타겟층(28)의 측벽 상에 남아있는 제2 스페이서층(200)의 부분은 제2 스페이서(202)를 형성한다. 제2 스페이서층(200)을 패터닝하는데 사용되는 공정은 도 4를 참조하여 상술한 제1 스페이서층(46)을 패터닝하는데 사용되는 공정과 유사할 수 있다.
도 20을 참조하면, 예를 들어 중간 맨드렐(36A, 36B)의 제거를 설명하는 도 5 및 도 6에서 상술한 공정을 사용하여 타겟층(28)이 제거된다. 타겟층(28)을 제거하는 공정은 도 20에 도시된 바와 같이 제2 스페이서(202)를 에칭 정지층(26) 위에 남긴다. 이 단계로부터, 제2 스페이서(202)의 패턴은 에칭 정지층(26)을 통해 기판(120)으로 전사될 수 있고, 반도체 스트립을 형성한다. 도 20은 영역(204)을 도시하고, 도 21 내지 도 27은 영역(204)의 확대도를 도시한다.
도 21을 참조하면, 에칭 정지층(26)의 산화물층(26c)은 예를 들어 제2 마스크층(34)이 패터닝되는 도 7을 참조하여 상기 설명된 것과 유사한 공정을 이용하여, 제2 스페이서(202)를 마스크로서 사용하여 에칭된다. 산화물층(26c)을 에칭한 결과는 도 21에 도시된 바와 같이 에칭 정지층(26)의 패드 질화물층(26b)을 노출시키는 것이다. 도 22를 참조하면, 제2 스페이서(202)는, 예를 들어 제1 스페이서(48)가 선택적으로 제거되는 도 7 및 도 8을 참조하여 기술된 하나 이상의 적절한 에칭 공정을 사용하여 선택적으로 제거될 수 있다.
도 23을 참조하면, 에칭 정지층(26)의 패드 질화물층(26b)은 예를 들어 제1 마스크층(32)이 패터닝되는 도 9를 참조하여 위에서 설명한 공정을 사용하여 마스크로서 산화물층(26c)을 사용하여 후속적으로 에칭된다. 도 23에 도시된 단계에서와 같은 일부 실시예에서, 산화물층(26c)은 예를 들어 O2, CO2, CxHyFz, Ar, N2, H2 등, 또는 이들의 조합을 포함하는 에칭 프로세스 가스에 의한 건식 에칭 공정을 사용하여 제거된다.
도 24를 참조하면, 그 후 패드 질화물층(26b)의 패턴이 패드 산화물층(26a) 및 기판(120)으로 전사되어, 예를 들어, 제2 마스크층(34)이 패터닝되는 도 7을 참조하여 위에서 설명한 것과 유사한 공정을 사용하여 반도체 스트립(206)을 형성한다.
도 25는 유전체 재료의 충진을 도시한다. 일부 실시예에 따르면, 라이너 산화물(208)은 반도체 스트립(206)의 측벽 상에 형성된다. 라이너 산화물(208)은 서로 인접한 두께를 갖는 수직 부분 및 수평 부분을 갖는 컨포멀층일 수 있다. 라이너 산화물(208)은 일부 예시적인 실시예에 따라 약 10 Å과 약 100 Å 사이의 두께를 갖는 열 산화물일 수 있다. 본 발명의 일 실시예에 따르면, 라이너 산화물(208)은 예를 들어 반도체 스트립(206) 및 기판(120)을 산화시키는데 사용되는 수증기 또는 수소(H2)와 산소(O2)의 조합된 가스에 의한 ISSG(In-Situ Steam Generation)를 사용하여 형성된다. 또 다른 실시예에 따르면, 라이너 산화물(208)은 서브 대기 화학 기상 증착(SACVD)과 같은 증착 기술을 이용하여 형성된다.
도 25는 또한 유전체 재료(210)의 증착/형성을 도시한다. 유전체 재료(210)는 패드 질화물층(26b), 패드 산화물층(26a), 반도체 스트립(206) 및 라이너 산화물(208)을 커버한다. 유전체 재료(210)의 형성 방법은 유동 가능 화학 기상 증착(FCVD), CVD, ALD 등으로부터 선택될 수 있다. 유전체 재료(210)를 경화시키기 위한 처리가 수행될 수 있다. 생성된 유전체 재료(210)는 예를 들어 실리콘 산화물을 포함할 수 있다.
그 후, 도 26에 도시된 바와 같이 화학 기계적 연마(CMP)와 같은 평탄화가 수행된다. 따라서, 라이너 산화물(208) 및 유전체 재료(210)의 나머지 부분을 포함하는 STI 영역(212)이 형성된다. 패드 질화물층(26b)은 CMP 정지층으로서 사용될 수 있고, 따라서 패드 질화물층(26b)의 상단 표면은 STI 영역(212)의 상단 표면과 실질적으로 수평이다.
후속 공정 단계에서, 패드 질화물층(26b) 및 패드 산화물층(26a)이 제거된다. 다음에, 도 27에 도시된 바와 같이, STI 영역(212)이 리세싱된다(recessed). 반도체 스트립(206)의 상단 부분은 돌출된 반도체 핀(214)을 형성하기 위해 나머지 STI 영역(212)의 상단 표면보다 높게 돌출된다. 그에 따른 구조가 도 27에 도시된다. 본 발명의 일부 실시예에서, STI 영역(212)의 리세싱은 NH3 및 HF3를 포함하는 프로세스 가스가 사용되는 건식 에칭 방법을 사용하여 수행된다. 본 발명의 대안적인 실시예에 따르면, STI 영역(212)의 리세싱은 에칭제 용액이 희석 HF 용액인 습식 에칭 방법을 사용하여 수행된다. STI 영역(212)이 반도체 핀(214)을 형성하기 위해 리세싱된 후에, 반도체 핀(214)에 대해 복수의 공정 단계가 수행되고, 상기 공정 단계는 웰 주입, 게이트 스택 형성, 소스/드레인 형성, 및 대체 게이트 형성을 포함할 수 있으며, 그에 의해 FinFETs을 형성할 수 있다. FinFET이 형성되는 설명된 실시예는 단지 예시적인 것에 불과하며; 본 발명의 다른 실시예는 기판(120)에 다른 반도체 피처를 형성하는 것을 고려한다.
일 실시예에서, 방법은 타겟층 위에 패터닝된 에칭 마스크를 형성하는 단계; 상기 패터닝된 에칭 마스크를 마스크로서 사용하여 상기 타겟층을 패터닝하여 패터닝된 타겟층을 형성하는 단계; 상기 패터닝된 에칭 마스크 및 상기 패터닝된 타겟층에 제1 클리닝 공정 - 상기 제1 클리닝 공정은 제1 용액을 포함함 - 을 수행하는 단계; 상기 패터닝된 에칭 마스크를 제거하고 노출된 패터닝된 타겟층을 형성하도록 제2 클리닝 공정 - 상기 제2 클리닝 공정은 제2 용액을 포함함 - 을 수행하는 단계; 상기 노출된 패터닝된 타겟층에 제3 클리닝 공정을 수행하는 단계; 및 상기 노출된 패터닝된 타겟층에 제4 클리닝 공정 - 상기 제4 클리닝 공정은 상기 제1 용액을 포함함 - 을 수행하는 단계를 포함한다.
일 실시예에서, 방법은 제1 맨드렐층 위에 마스크층을 형성하는 단계; 상기 마스크층 위에 제2 맨드렐층을 형성하는 단계; 상기 제2 맨드렐층을 패터닝하여 내부에 적어도 하나의 개구를 형성하는 단계; 상기 적어도 하나의 개구의 측벽 상에 제1 스페이서를 형성하는 단계; 상기 제1 스페이서를 마스크로서 사용하여 상기 마스크층을 패터닝하여 패터닝된 마스크층을 형성하는 단계; 상기 패터닝된 마스크층을 마스크로서 사용하여 상기 제1 맨드렐층을 패터닝하여 패터닝된 제1 맨드렐층을 형성하는 단계; 마스크 제거 공정을 사용하여 상기 패터닝된 마스크층을 제거하는 단계 - 상기 마스크 제거 공정은 상기 패터닝된 제1 맨드렐층의 측벽 상에 잔류물을 형성함 - ; 및 잔류물 제거 공정을 사용하여 상기 잔류물을 제거하는 단계를 포함한다.
일 실시예에서, 방법은 복수의 마스크층 위에 패터닝된 맨드렐을 형성하는 단계 - 상기 복수의 마스크층은 제1 마스크층 및 상기 제1 마스크층 위에 있는 제2 마스크층을 포함함 - ; 상기 패터닝된 맨드렐의 측벽 상에 스페이서를 형성하는 단계; 상기 스페이서를 마스크로서 사용하여 상기 제2 마스크층을 패터닝하여 패터닝된 제2 마스크층을 형성하는 단계; 건식 에칭 공정을 사용하여 상기 스페이서를 제거하는 단계; 상기 패터닝된 제2 마스크층을 마스크로서 사용하여 상기 제1 마스크층을 패터닝하여 패터닝된 제1 마스크층을 형성하는 단계; 상기 건식 에칭 공정을 이용하여 상기 패터닝된 제2 마스크층을 제거하는 단계; 상기 패터닝된 제1 마스크층을 마스크로서 사용하여 하위 맨드렐층을 패터닝하여 패터닝된 하위 맨드렐층을 형성하는 단계; 인산을 포함하는 제1 습식 제거 공정을 사용하여 상기 패터닝된 제1 마스크층을 제거하는 단계 - 상기 제1 습식 제거 공정은 상기 패터닝된 하위 맨드렐층의 측벽 상에 잔류물을 형성함 - ; 및 제2 습식 제거 공정을 사용하여 상기 잔류물을 제거하는 단계를 포함한다.
전술된 내용은 당업자가 본 개시의 태양을 더 잘 이해할 수 있도록 몇몇 실시예의 특징을 개략적으로 설명한다. 당업자는 본 명세서에서 소개된 실시예들의 동일한 목적을 수행하고 그리고/또는 동일한 장점을 달성하기 위한 다른 공정 및 구조를 설계 또는 변경하기 위한 기초로서 본 개시물을 용이하게 사용할 수 있음을 이해해야 한다. 또한, 당업자는 이러한 동등한 구성이 본 개시물의 사상 및 범위를 벗어나지 않는다는 것을 알 것이고, 본 개시물의 사상 및 범위를 벗어나지 않고 본 명세서에서 다양한 변경, 대체 및 변형을 행할 수 있음을 알 것이다.
실시예
실시예 1. 방법에 있어서,
타겟층 위에 패터닝된 에칭 마스크를 형성하는 단계;
상기 패터닝된 에칭 마스크를 마스크로서 사용하여 상기 타겟층을 패터닝하여 패터닝된 타겟층을 형성하는 단계;
상기 패터닝된 에칭 마스크 및 상기 패터닝된 타겟층에 제1 클리닝 공정 - 상기 제1 클리닝 공정은 제1 용액을 포함함 - 을 수행하는 단계;
상기 패터닝된 에칭 마스크를 제거하고 노출된 패터닝된 타겟층을 형성하도록 제2 클리닝 공정 - 상기 제2 클리닝 공정은 제2 용액을 포함함 - 을 수행하는 단계;
상기 노출된 패터닝된 타겟층에 제3 클리닝 공정을 수행하는 단계; 및
상기 노출된 패터닝된 타겟층에 제4 클리닝 공정 - 상기 제4 클리닝 공정은 상기 제1 용액을 포함함 - 을 수행하는 단계
를 포함하는, 방법.
실시예 2. 실시예 1에 있어서,
상기 제1 용액은 물, 과산화수소 및 수산화암모늄 중 적어도 하나를 포함하는 것인, 방법.
실시예 3. 실시예 1에 있어서,
상기 제2 용액은 인산을 포함하는 것인, 방법.
실시예 4. 실시예 1에 있어서,
상기 패터닝된 에칭 마스크를 마스크로서 사용하여 상기 타겟층을 패터닝하는 단계는 상기 패터닝된 타겟층 및 상기 패터닝된 에칭 마스크의 측벽들 상에 잔류층을 생성하는 것인, 방법.
실시예 5. 실시예 4에 있어서,
상기 잔류층은 플루오로카본 화합물을 포함하는 것인, 방법.
실시예 6. 실시예 1에 있어서,
상기 노출된 패터닝된 타겟층에 상기 제3 클리닝 공정을 수행하는 단계는 상기 노출된 패터닝된 타겟층을 탈이온수에 노출시키는 단계를 포함하는 것인, 방법.
실시예 7. 실시예 6에 있어서,
상기 탈이온수는 이산화탄소를 포함하는 것인, 방법.
실시예 8. 실시예 1에 있어서,
상기 노출된 패터닝된 타겟층에 상기 제4 클리닝 공정을 수행하는 단계는 약 20 ℃와 약 70 ℃ 사이의 온도에서 상기 제1 용액을 분산시키는(dispensing) 단계를 포함하는 것인, 방법.
실시예 9. 방법에 있어서,
제1 맨드렐층 위에 마스크층을 형성하는 단계;
상기 마스크층 위에 제2 맨드렐층을 형성하는 단계;
상기 제2 맨드렐층을 패터닝하여 상기 제2 맨드렐층 내에 적어도 하나의 개구를 형성하는 단계;
상기 적어도 하나의 개구의 측벽 상에 제1 스페이서를 형성하는 단계;
상기 제1 스페이서를 마스크로서 사용하여 상기 마스크층을 패터닝하여 패터닝된 마스크층을 형성하는 단계;
상기 패터닝된 마스크층을 마스크로서 사용하여 상기 제1 맨드렐층을 패터닝하여 패터닝된 제1 맨드렐층을 형성하는 단계;
마스크 제거 공정을 사용하여 상기 패터닝된 마스크층을 제거하는 단계 - 상기 마스크 제거 공정은 상기 패터닝된 제1 맨드렐층의 측벽 상에 잔류물을 형성함 - ; 및
잔류물 제거 공정을 사용하여 상기 잔류물을 제거하는 단계
를 포함하는, 방법.
실시예 10. 실시예 9에 있어서,
상기 잔류물은 플루오로카본 화합물을 포함하는 것인, 방법.
실시예 11. 실시예 9에 있어서,
물, 과산화수소 및 수산화암모늄의 혼합물을 포함하는 제1 용액을 사용하여 상기 패터닝된 제1 맨드렐층 및 상기 패터닝된 마스크층을 클리닝하는 단계를 더 포함하는 것인, 방법.
실시예 12. 실시예 9에 있어서,
상기 마스크 제거 공정은 제2 용액을 포함하는 것인, 방법.
실시예 13. 실시예 12에 있어서,
상기 제2 용액은 인산을 포함하는 것인, 방법.
실시예 14. 실시예 12에 있어서,
상기 마스크 제거 공정은 약 100 ℃와 약 200 ℃ 사이의 온도에서 수행되는 것인, 방법.
실시예 15. 실시예 9에 있어서,
상기 잔류물 제거 공정은 탈이온수 및 수산화암모늄을 포함하는 것인, 방법.
실시예 16. 실시예 15에 있어서,
상기 잔류물 제거 공정은,
상기 탈이온수를 사용하여 상기 패터닝된 제1 맨드렐층을 클리닝하는 단계; 및
상기 탈이온수로 클리닝한 후 상기 수산화암모늄을 사용하여 상기 패터닝된 제1 맨드렐층을 클리닝하는 단계를 포함하는 것인, 방법.
실시예 17. 방법에 있어서,
복수의 마스크층 - 상기 복수의 마스크층은 제1 마스크층 및 상기 제1 마스크층 위에 있는 제2 마스크층을 포함함 - 위에 패터닝된 맨드렐을 형성하는 단계;
상기 패터닝된 맨드렐의 측벽 상에 스페이서를 형성하는 단계;
상기 스페이서를 마스크로서 사용하여 상기 제2 마스크층을 패터닝하여 패터닝된 제2 마스크층을 형성하는 단계;
건식 에칭 공정을 사용하여 상기 스페이서를 제거하는 단계;
상기 패터닝된 제2 마스크층을 마스크로서 사용하여 상기 제1 마스크층을 패터닝하여 패터닝된 제1 마스크층을 형성하는 단계;
상기 건식 에칭 공정을 이용하여 상기 패터닝된 제2 마스크층을 제거하는 단계;
상기 패터닝된 제1 마스크층을 마스크로서 사용하여 하위(underlying) 맨드렐층을 패터닝하여 패터닝된 하위 맨드렐층을 형성하는 단계;
인산을 포함하는 제1 습식 제거 공정을 사용하여 상기 패터닝된 제1 마스크층을 제거하는 단계 - 상기 제1 습식 제거 공정은 상기 패터닝된 하위 맨드렐층의 측벽 상에 잔류물을 형성함 - ; 및
제2 습식 제거 공정을 사용하여 상기 잔류물을 제거하는 단계
를 포함하는, 방법.
실시예 18. 실시예 17에 있어서,
상기 제2 습식 제거 공정은 물, 과산화수소 및 수산화암모늄의 혼합물을 포함하는 것인, 방법.
실시예 19. 실시예 18에 있어서,
상기 제2 습식 제거 공정에서, 수산화암모늄의 농도가 약 10 백만분율과 약 500 백만분율 사이인 것인, 방법.
실시예 20. 실시예 18에 있어서,
상기 제2 습식 제거 공정은 상기 패터닝된 하위 맨드렐층을 물, 과산화수소 및 수산화암모늄의 혼합물에 노출시키기 전에 상기 패터닝된 하위 맨드렐층을 탈이온수에 노출시키는 단계를 포함하는 것인, 방법.

Claims (10)

  1. 방법에 있어서,
    타겟층 위에 패터닝된 에칭 마스크를 형성하는 단계;
    상기 패터닝된 에칭 마스크를 마스크로서 사용하여 상기 타겟층을 패터닝하여 패터닝된 타겟층을 형성하는 단계;
    상기 패터닝된 에칭 마스크 및 상기 패터닝된 타겟층에 제1 클리닝 공정 - 상기 제1 클리닝 공정은 제1 용액을 포함함 - 을 수행하는 단계;
    상기 패터닝된 에칭 마스크를 제거하고 노출된 패터닝된 타겟층을 형성하도록 제2 클리닝 공정 - 상기 제2 클리닝 공정은 제2 용액을 포함함 - 을 수행하는 단계;
    상기 노출된 패터닝된 타겟층에 제3 클리닝 공정을 수행하는 단계; 및
    상기 노출된 패터닝된 타겟층에 제4 클리닝 공정 - 상기 제4 클리닝 공정은 상기 제1 용액을 포함함 - 을 수행하는 단계
    를 포함하는, 방법.
  2. 제1항에 있어서,
    상기 제1 용액은 물, 과산화수소 및 수산화암모늄 중 적어도 하나를 포함하는 것인, 방법.
  3. 제1항에 있어서,
    상기 제2 용액은 인산을 포함하는 것인, 방법.
  4. 제1항에 있어서,
    상기 패터닝된 에칭 마스크를 마스크로서 사용하여 상기 타겟층을 패터닝하는 단계는 상기 패터닝된 타겟층 및 상기 패터닝된 에칭 마스크의 측벽들 상에 잔류층을 생성하는 것인, 방법.
  5. 제4항에 있어서,
    상기 잔류층은 플루오로카본 화합물을 포함하는 것인, 방법.
  6. 제1항에 있어서,
    상기 노출된 패터닝된 타겟층에 상기 제3 클리닝 공정을 수행하는 단계는 상기 노출된 패터닝된 타겟층을 탈이온수에 노출시키는 단계를 포함하는 것인, 방법.
  7. 제6항에 있어서,
    상기 탈이온수는 이산화탄소를 포함하는 것인, 방법.
  8. 제1항에 있어서,
    상기 노출된 패터닝된 타겟층에 상기 제4 클리닝 공정을 수행하는 단계는 20 ℃와 70 ℃ 사이의 온도에서 상기 제1 용액을 분산시키는(dispensing) 단계를 포함하는 것인, 방법.
  9. 방법에 있어서,
    제1 맨드렐층 위에 마스크층을 형성하는 단계;
    상기 마스크층 위에 제2 맨드렐층을 형성하는 단계;
    상기 제2 맨드렐층을 패터닝하여 상기 제2 맨드렐층 내에 적어도 하나의 개구를 형성하는 단계;
    상기 적어도 하나의 개구의 측벽 상에 제1 스페이서를 형성하는 단계;
    상기 제1 스페이서를 마스크로서 사용하여 상기 마스크층을 패터닝하여 패터닝된 마스크층을 형성하는 단계;
    상기 패터닝된 마스크층을 마스크로서 사용하여 상기 제1 맨드렐층을 패터닝하여 패터닝된 제1 맨드렐층을 형성하는 단계;
    마스크 제거 공정을 사용하여 상기 패터닝된 마스크층을 제거하는 단계 - 상기 마스크 제거 공정은 상기 패터닝된 제1 맨드렐층의 측벽 상에 잔류물을 형성함 - ; 및
    잔류물 제거 공정을 사용하여 상기 잔류물을 제거하는 단계
    를 포함하는, 방법.
  10. 방법에 있어서,
    복수의 마스크층 - 상기 복수의 마스크층은 제1 마스크층 및 상기 제1 마스크층 위에 있는 제2 마스크층을 포함함 - 위에 패터닝된 맨드렐을 형성하는 단계;
    상기 패터닝된 맨드렐의 측벽 상에 스페이서를 형성하는 단계;
    상기 스페이서를 마스크로서 사용하여 상기 제2 마스크층을 패터닝하여 패터닝된 제2 마스크층을 형성하는 단계;
    건식 에칭 공정을 사용하여 상기 스페이서를 제거하는 단계;
    상기 패터닝된 제2 마스크층을 마스크로서 사용하여 상기 제1 마스크층을 패터닝하여 패터닝된 제1 마스크층을 형성하는 단계;
    상기 건식 에칭 공정을 이용하여 상기 패터닝된 제2 마스크층을 제거하는 단계;
    상기 패터닝된 제1 마스크층을 마스크로서 사용하여 하위(underlying) 맨드렐층을 패터닝하여 패터닝된 하위 맨드렐층을 형성하는 단계;
    인산을 포함하는 제1 습식 제거 공정을 사용하여 상기 패터닝된 제1 마스크층을 제거하는 단계 - 상기 제1 습식 제거 공정은 상기 패터닝된 하위 맨드렐층의 측벽 상에 잔류물을 형성함 - ; 및
    제2 습식 제거 공정을 사용하여 상기 잔류물을 제거하는 단계
    를 포함하는, 방법.
KR1020170160674A 2016-11-29 2017-11-28 에칭 마스크를 제거하는 방법 KR20180061054A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427704P 2016-11-29 2016-11-29
US62/427,704 2016-11-29
US15/796,398 2017-10-27
US15/796,398 US10553720B2 (en) 2016-11-29 2017-10-27 Method of removing an etch mask

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020200008846A Division KR102128515B1 (ko) 2016-11-29 2020-01-22 에칭 마스크를 제거하는 방법

Publications (1)

Publication Number Publication Date
KR20180061054A true KR20180061054A (ko) 2018-06-07

Family

ID=62117524

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170160674A KR20180061054A (ko) 2016-11-29 2017-11-28 에칭 마스크를 제거하는 방법
KR1020200008846A KR102128515B1 (ko) 2016-11-29 2020-01-22 에칭 마스크를 제거하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020200008846A KR102128515B1 (ko) 2016-11-29 2020-01-22 에칭 마스크를 제거하는 방법

Country Status (5)

Country Link
US (3) US10553720B2 (ko)
KR (2) KR20180061054A (ko)
CN (1) CN108155088B (ko)
DE (1) DE102017125781A1 (ko)
TW (1) TWI675410B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109427578A (zh) * 2017-08-24 2019-03-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TWI685086B (zh) * 2019-01-03 2020-02-11 華邦電子股份有限公司 著陸墊結構及其製造方法
US10636658B1 (en) * 2019-01-23 2020-04-28 Micron Technology, Inc. Methods of forming patterns, and methods of patterning conductive structures of integrated assemblies
US10833160B1 (en) * 2019-04-17 2020-11-10 Globalfoundries Inc. Field-effect transistors with self-aligned and non-self-aligned contact openings
US10811258B1 (en) * 2019-06-24 2020-10-20 United Microelectronics Corp. Method for improving the quality of a high-voltage metal oxide semiconductor

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5919311A (en) 1996-11-15 1999-07-06 Memc Electronic Materials, Inc. Control of SiO2 etch rate using dilute chemical etchants in the presence of a megasonic field
KR100500924B1 (ko) 1999-12-30 2005-07-14 주식회사 하이닉스반도체 메모리소자의 텅스텐 전극 형성방법
TW544794B (en) * 2002-07-05 2003-08-01 Taiwan Semiconductor Mfg Method for removing particles in etching process
US7105361B2 (en) * 2003-01-06 2006-09-12 Applied Materials, Inc. Method of etching a magnetic material
KR100505693B1 (ko) 2003-06-26 2005-08-03 삼성전자주식회사 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
DE102004029077B4 (de) 2003-06-26 2010-07-22 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zur Entfernung eines Photoresists von einem Substrat
TW200625437A (en) 2004-12-30 2006-07-16 Macronix Int Co Ltd Shallow trench isolation process of forming smooth edge angle by cleaning procedure
KR101175267B1 (ko) 2006-12-27 2012-08-21 에스케이하이닉스 주식회사 반도체소자의 메탈라인 패터닝 방법
CN101211114A (zh) * 2006-12-27 2008-07-02 中芯国际集成电路制造(上海)有限公司 一种改进型栅极多晶硅掩膜层去除方法
TWI326465B (en) 2007-02-01 2010-06-21 United Microelectronics Corp Method of cleaning wafer after etching process
TWI416595B (zh) * 2008-09-15 2013-11-21 Taiwan Semiconductor Mfg 製造半導體裝置的方法
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
TWI492298B (zh) 2011-08-26 2015-07-11 Applied Materials Inc 雙重圖案化蝕刻製程
US8835326B2 (en) * 2012-01-04 2014-09-16 International Business Machines Corporation Titanium-nitride removal
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8895449B1 (en) * 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9099559B2 (en) * 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
WO2015070168A1 (en) 2013-11-11 2015-05-14 Tokyo Electron Limited Method and hardware for enhanced removal of post etch polymer and hardmask removal
US9209076B2 (en) * 2013-11-22 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of double patterning lithography process using plurality of mandrels for integrated circuit applications
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
CN105374754B (zh) * 2014-08-28 2019-01-18 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
JP6582803B2 (ja) * 2015-09-25 2019-10-02 セイコーエプソン株式会社 電子デバイス、液体吐出ヘッド、および、電子デバイスの製造方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR20170128801A (ko) * 2016-05-16 2017-11-24 삼성전자주식회사 기판 세정 방법 및 이를 수행하기 위한 장치

Also Published As

Publication number Publication date
US10553720B2 (en) 2020-02-04
TWI675410B (zh) 2019-10-21
TW201835987A (zh) 2018-10-01
KR102128515B1 (ko) 2020-07-01
CN108155088B (zh) 2021-07-23
KR20200012005A (ko) 2020-02-04
DE102017125781A1 (de) 2018-05-30
US20200259017A1 (en) 2020-08-13
CN108155088A (zh) 2018-06-12
US10636908B2 (en) 2020-04-28
US20190097052A1 (en) 2019-03-28
US20180151735A1 (en) 2018-05-31
US11495684B2 (en) 2022-11-08

Similar Documents

Publication Publication Date Title
KR102628726B1 (ko) 반도체 디바이스의 패터닝 방법 및 그 결과의 구조물
KR102128515B1 (ko) 에칭 마스크를 제거하는 방법
US10340141B2 (en) Patterning method for semiconductor device and structures resulting therefrom
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
US8338304B2 (en) Methods to reduce the critical dimension of semiconductor devices and related semiconductor devices
US9425053B2 (en) Block mask litho on high aspect ratio topography with minimal semiconductor material damage
CN110416067B (zh) 半导体装置的制造方法
KR20100007927A (ko) 피치 더블링 프로세스 중에 어레이 피처를 격리시키는 방법 및 격리된 어레이 피처를 갖는 반도체 장치 구조물
US20190006174A1 (en) Method for patterning semiconductor device using masking layer
US20240112905A1 (en) Semiconductor Device and Method
TW202109618A (zh) 圖案化半導體裝置的方法
US9543502B2 (en) Small pitch and high density contact array
TW202215494A (zh) 半導體結構的製造方法
CN103325664A (zh) 半导体器件的形成方法
US11145760B2 (en) Structure having improved fin critical dimension control
CN113948462B (zh) 半导体结构及其形成方法
CN115831859A (zh) 制造半导体器件的方法
TW202410459A (zh) 半導體裝置及其製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment