KR100505693B1 - 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법 - Google Patents

미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법 Download PDF

Info

Publication number
KR100505693B1
KR100505693B1 KR10-2003-0042133A KR20030042133A KR100505693B1 KR 100505693 B1 KR100505693 B1 KR 100505693B1 KR 20030042133 A KR20030042133 A KR 20030042133A KR 100505693 B1 KR100505693 B1 KR 100505693B1
Authority
KR
South Korea
Prior art keywords
substrate
cleaned
providing
photoresist
ozone gas
Prior art date
Application number
KR10-2003-0042133A
Other languages
English (en)
Other versions
KR20050001797A (ko
Inventor
한동균
최상준
고형호
이효산
한우성
홍창기
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2003-0042133A priority Critical patent/KR100505693B1/ko
Priority to US10/712,775 priority patent/US7431855B2/en
Priority to DE102004029077A priority patent/DE102004029077B4/de
Priority to JP2004188017A priority patent/JP4489513B2/ja
Publication of KR20050001797A publication Critical patent/KR20050001797A/ko
Application granted granted Critical
Publication of KR100505693B1 publication Critical patent/KR100505693B1/ko
Priority to US12/230,293 priority patent/US20090065032A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Abstract

세정되어야 할 물질을 구비하는 미세 전자 소자 기판 표면에 초임계 이산화탄소를 제공한 후, 오존 가스 및 수증기를 제공하는 미세 전자 소자 기판의 세정 방법이 제공된다. 세정되어야 할 물질은 포토레지스트, 유기물 등이다. 초임계 이산화탄소에 의해 세정되어야 할 물질이 팽윤되고, 팽윤된 물질을 오존 가스 및 수증기가 산화시켜 세정이 가능하도록 한다.

Description

미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을 세정하는 방법{Cleaning method of photoresist or organic material from microelectronic device substrate}
본 발명은 미세 전자 소자(microelectronic device)의 제조 방법에 관한 것으로, 특히 미세 전자 소자 기판으로부터 포토레지스트 및/또는 유기물을 세정하는 방법에 관한 것이다.
미세 전자 소자를 완성하기 위해서는 수회에 걸쳐 포토레지스트 또는 유기물(예: 건식 식각 부산물, CMP 후 유기물성 잔류물(post CMP organic residue))들을 소자 형성용 기판(이하 기판) 표면으로부터 제거하는 세정 공정을 실시해야 한다.
종래의 포토레지스트 세정은 O2 플라즈마를 이용한 에슁(ashing)과 같은 건식 공정과 유기 스트리퍼를 사용하는 습식 공정의 조합으로 이루어진다. 그러나, O2 플라즈마는 노출된 기판 표면을 손상시켜 소자의 특성을 열화시킨다. 특히 포토레지스트 패턴 하부에 노출되어 있는 부분이 금속으로 이루어져 있는 경우 금속의 전기적 특성이 열화된다. 또, 유기 스트리퍼를 사용하는 공정은 다량의 유기물 사용으로 인한 고 비용, 고온 공정으로 인한 안전 사고의 발생 가능성과 설비 관리의 부하, 파티클의 발생, 건조의 어려움, 폐 유기 스트리퍼 처리를 위한 부대 비용 발생 및 환경적인 문제 등을 안고 있다.
한편, 기판에 잔류하는 유기물의 완전한 세정을 위해서는 에싱을 필요로 하고, 에싱 후에 불화수소, 황산 등의 독성이 강한 세정 화학 물질을 고온에서 사용하므로 포토레지스트 세정 공정과 동일한 문제점이 있다. 또, 기판 표면에 금속이 노출되어 있는 경우에는 세정 화학 물질에 의해 금속이 부식되거나 식각된다.
종래의 포토레지스트 또는 유기물 세정 공정에 대한 대안으로 초임계 이산화탄소(이하 SCCO2)를 사용하는 공정이 미국특허 6,500,605호, 6,509,141호, 6,306,564호 및 6,562,146호 등에 개시되어 있다. 그러나, 이들 특허에 개시되어 있는 공정은 포토레지스트 또는 유기물 제거율이 현저히 낮다. 그리고, 제거율을 향상시키기 위하여 첨가하는 용매 및/또는 첨가제가 기판 표면에 잔류하여 오염원으로 작용한다. 따라서, 이를 방지하기 위해서 퍼지 가스(예: 새로운 SCCO2, 또는 기상의 CO2)를 사용하여 챔버 내의 압력과 온도를 그대로 유지하면서 SCCO2와 용매 및/또는 첨가제를 챔버로부터 배출 가스 수거 용기로 완전히 배출하는 퍼지 단계를 충분히 실시한 후에 챔버 내를 감압해야 한다. 이와 같은 퍼지 단계를 실시해야 할 경우에는 생산효율(throughput)이 떨어진다. 또, 배출된 용매 및/또는 첨가제는 재사용이 불가능하여 이의 처리를 위한 부대 비용 발생 및 환경적인 문제가 여전히 남아있다.
또 다른 대안으로 오존 가스와 수증기를 사용하는 공정이 미국공개공보 2002/0134409호에 개시되어 있다. 그러나, 이들 오존 가스와 수증기를 사용하는 공정은 소정 도우즈 이상으로 이온 주입된 포토레지스트를 제거하지 못하므로 범용성이 떨어진다.
본 발명이 이루고자 하는 기술적 과제는 기판 표면에 손상을 일으키는 에싱 공정 없이 포토레지스트 및/또는 유기물을 세정하는 방법을 제공하는 것이다.
본 발명이 이루고자 하는 다른 기술적 과제는 높은 생산 효율로 포토레지스트 및/또는 유기물을 세정하는 방법을 제공하는 것이다.
본 발명이 이루고자 하는 또 다른 기술적 과제는 다양한 종류의 포토레지스트 및/또는 유기물을 범용적으로 세정하는 방법을 제공하는 것이다.
본 발명이 이루고자 하는 또 다른 기술적 과제는 포토레지스트 및/또는 유기물을 친환경적으로 세정하는 방법을 제공하는 것이다.
상기 기술적 과제들을 달성하기 위한 본 발명에 따른 실시예들에서는 세정되어야 할 물질을 구비하는 미세 전자 소자 기판 표면에 초임계 이산화탄소를 제공한 후, 기판 표면에 오존 가스 및 수증기를 제공한다. 초임계 이산화탄소 제공에 의해 세정되어야 할 물질은 팽윤된다. 오존 가스 및 수증기 제공에 의해 세정되어야 할 물질은 산화된다. 또, 오존 가스 및 수증기제공에 의해 세정되어야 할 물질은 수용성으로 전환된다.
오존 가스 및 수증기 제공후에 린스 단계를 더 포함한다. 린스 단계 후에 건조 단계를 더 포함할 수도 있다.
바람직하기로는, 초임계 이산화탄소를 제공하는 단계는 용매없이 초임계 이산화탄소만을 제공하는 단계이다. 바람직하기로는, 오존 가스 및 수증기를 제공하기 전에, 초임계 이산화탄소의 임계 압력보다 낮은 압력으로 감압함으로써 기판으로부터 초임계 이산화탄소를 제거한다.
오존 가스 및 수증기를 제공하는 단계는 기판의 온도가 수증기의 온도보다 10-15℃ 낮은 조건에서 진행되는 것이 바람직하다. 또, 오존 가스 및 수증기를 제공하는 단계는 초임계 이산화탄소의 임계 압력보다 낮은 압력에서 진행하는 것이 바람직하다.
세정되어야 할 물질은 포토레지스트 및/또는 유기물이다. 포토레지스트는 바람직하기로는 1×1014 atoms/㎠ 도우즈 이상으로, 더욱 바람직하기로는 1×1015 atoms/㎠ 도우즈 이상으로 이온 주입된 포토레지스트 또는 건식 식각시 마스크로 사용된 포토레지스트이다. 유기물은 건식 식각 부산물 또는 CMP 후 유기물성 잔류물이다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
이하 첨부한 도면을 참조하여 본 발명에 관한 실시예들을 설명한다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
뒤에 보다 상세하게 기술한 바와 같이, 본 발명의 세정 방법에서는 소자의 특성에 악영향을 미칠 수도 있는 에싱 공정에 대한 필요성을 없앨 것이다. 또, 유기 스트리퍼 또는 독성이 있는 화학 물질을 사용하지 않음으로써 폐액 처리의 부담을 없앨 것이다. 특히, 초임계 이산화탄소(SCCO2), 오존(O3) 가스 및 수증기(water vapor)만을 사용함으로써 친환경적인 공정을 제공할 것이다.
본 발명의 세정 방법이 적용되는 소자는 고집적회로 반도체 소자, 프로세서, MEM's(Micro Electro Mechanical) 소자, 광전자(optoelectronic) 소자, 디스플레이 소자(display device) 등의 미세 전자 소자이다.
I-line(365nm) 용 포토레지스트, KrF 엑시머 레이저(248nm)용 포토레지스트, ArF 엑시머 레이저(193 nm) 용 포토레지스트, F2 엑시머 레이저(157 ㎚)용 포토레지스트 등 다양한 포토레지스트가 종래의 포토리소그래피 공정에 의해 상기 미세 전자 소자들을 형성하기 위한 다양한 종류의 기판 상에 도포된 후, 노광 및 현상 공정을 거쳐 소정의 패턴을 정의하는 포토레지스트 패턴으로 형성된다. 기판으로는 반도체 웨이퍼, 알루미늄-알루미늄 산화막 기판, 갈륨 비소 기판, 세라믹 기판, 석영 기판, 구리 기판 또는 디스플레이용 유리 기판 등을 예로 들 수 있다.
포토레지스트 패턴은 다양한 종류의 웰 또는 소오스/드레인 영역을 형성하기 위한 이온 주입 단계에서 이온주입 마스크로 기능한다. DRAM 경우를 예로 들면 웰 및 소오스/드레인 영역의 N- 영역을 형성하기 위해서는 1×1014 atoms/㎠ 이하의 도우즈로 이온이 주입되고 소오스/드레인 영역의 N+ 영역을 형성하기 위해서는 1×1015 atoms/㎠ 이상의 도우즈로 이온이 주입된다. 도 1은 DRAM의 소오스/드레인 영역의 N+ 영역을 형성하기 위한 이온 주입 단계를 도시하는 단면도이다. 도 1을 참조하면, 이온 주입(45)에 의해 포토레지스트 패턴(40) 표면에 손상층(40')이 형성된다. 손상층(40')은 포토레지스트 패턴(40)을 구성하는 다량의 폴리머들이 이온 주입(45)에 의해 교차 결합(cross-link)되어 형성된 경화층으로 추측된다. 이 손상층(40')은 종래의 포토레지스트 세정 방법으로는 쉽게 제거되지 않는다. 도 1에서 미설명 참조 부호 110은 기판을, 10은 게이트 전극을, 11은 절연막 패턴을, 30은 스페이서를, 20은 소오스 드레인 영역의 N- 영역을 각각 나타낸다.
도 2는 손상층(40')이 형성된 실제 포토레지스트의 단면을 도 3은 손상층(40')의 상면을 SEM으로 관찰한 사진이다. 기판(110)상에 I-line 용 포토레지스트를 1,2㎛ 두께로 도포하여 포토레지스트막(40)을 형성한 후, 비소를 3×1015atoms/㎠ 도우즈로 이온 주입하면 약 200-300Å 두께로 손상층(40')이 형성된다.
도 4를 참조하면, 금속막, 층간절연막 등의 피식각막(60)을 식각하기 위한 RIE(Reactive Ion Etching), IBE(Ion Beam Etching), 플라즈마 식각, 레이저 식각(ablation)과 같은 건식 식각 단계에서 포토레지스트 패턴(70)은 식각마스크로 기능한다. 식각 공정을 거치면서 식각 부산물(80)이 포토레지스트 패턴(70) 측벽 및 기판(110) 표면에 잔류하게 되고 포토레지스트 패턴(70)도 식각에 의해 손상을 입어 그 표면에 손상층(70')이 형성된다.
한편, 다마신 공정 또는 층간 절연막의 평탄화를 위하여 채택되는 CMP 공정의 결과 도 5의 SEM 사진에 도시되어 있는 바와 같이 기판 표면에는 슬러리 등의 잔류물과 인-시츄(in-situ) 세정을 위한 브러쉬(brush)에 의해 발생하는 유기물성 결함(90)이 존재한다.
도 6은 본 발명에 따른 세정 방법에 사용되는 멀티 챔버형 세정 시스템의 개략도이다. 세정 시스템은 기판(110)이 로딩된 카세트(120)가 놓여지는 로드락(loadlock) 챔버(100)와 로드락 챔버(100)에 연결되어 기판(110)을 로딩 및 언로딩할 수 있는 수단, 예컨대 로봇 암(210)을 구비하는 트랜스퍼 챔버(200)와, 상기 트랜스퍼 챔버(200)에 연결된 SCCO2 처리 챔버(300), 오존 가스 및 수증기 처리 챔버(400), 린스 챔버(500) 및 건조 챔버(600)로 구성된다. 도 6에 도시되어 있는 세정 시스템은 멀티 챔버형이므로, 본 발명의 바람직한 세정 방법이 인-시츄로 완료될 수 있도록 한다. 본 명세서에서 "인-시츄(in-situ)"라는 용어는 하나의 시스템에서 대기중으로 기판을 노출시키지 않고 관련 공정을 진행하는 것을 지칭하는 의미로 사용한다. 도 6과 같이 멀티 챔버형 세정 시스템으로 할 경우에는 각 챔버별 공정 시간이 거의 동일한 것이 바람직하다. 비록, 도 6에 기판(110)을 로딩 및 언로딩할 수 있는 수단으로 단일(single) 기판 이송에 적합한 로봇 암(210)이 도시되어 있으나, 로봇 암(210) 대신 카세트(120) 내의 모든 기판(110)을 동시에 전달하여 배치(batch) 방식으로 전 공정이 진행될 수 있도록 하는 기판 이동기가 트랜스퍼 챔버(200) 내에 설치되고 각 챔버들(300, 400, 500, 600) 내의 기판이 놓여지는 기판 지지대가 복수의 기판(110)을 올려놓을 수 있는 복수의 슬롯들을 구비하는 기판 지지대로 구성되어 배치(batch) 방식으로 모든 공정이 진행될 수도 있다. 또, 린스 및 건조는 배치(batch) 방식으로 진행하는 것이 생산 효율면에서 바람직하다면, SCCO2 처리 챔버(300)와 오존 가스 및 수증기 처리 챔버(400)만 멀티 챔버형 세정 시스템으로 구성하고, 린스 및 건조는 독립된 장치에서 진행할 수 있도록 당업자에 의해 다양하게 변형될 수 있다. 나아가, SCCO2 처리는 단일 방식으로 오존 가스 및 수증기 처리는 배치 방식으로 혹은 그 역으로 처리하는 것이 바람직할 경우에는 SCCO2 처리, 오존 가스 및 수증기 처리, 린스 및 건조를 각각 독립된 장치에서 진행할 수 있도록 당업자에 의해 다양하게 변형될 수 있다.
SCCO2 처리 챔버(300)의 상세도가 도 7에, 오존 가스 및 수증기 처리 챔버(400)의 상세도가 도 8에 각각 도시되어 있다.
도 7을 참조하면, SCCO2 처리 챔버(300) 내에는 본 발명에 따른 세정 공정이 진행될 적어도 하나의 기판이 놓여지는 기판 지지대(301)가 설치되어 있다. 또, 챔버(300)는 챔버(300) 내부를 소정온도 이상으로 가열할 수 있는 챔버 가열부(305)를 포함하고 있다. 챔버 가열부(305)는 히터자켓(heater jacket), 코일 저항형 히터(coil resistant heater) 또는 램프와 같은 방사형 가열장치일 수도 있다. SCCO2 처리 챔버(300)에는 이산화탄소 공급관(312)을 통해 이산화탄소 공급기(310)가, 배출가스관(322)을 통해 배출가스 수거 용기(320)가 각각 연결되어 있다. 이산화탄소 공급기(310)는 SCCO2 생성기(317) 및 유량 조절 밸브(318)를 거쳐 챔버(300)로 연결된다. SCCO2 생성기(317)는 이산화탄소 가압기(314)와 이산화 탄소 가열기(316)로 구성되며, 이 둘의 순서는 바뀔수도 있다. 선택적으로(optionally), 순환 펌프(334)가 설치된 순환관(332)이 챔버(300)에 연결되어 있다. 또, 배출 가스 수거 용기(320)로부터 이산화탄소 공급기(310)로 수거된 이산화탄소를 재공급하는 재공급관(342)이 연결되어 있다. 각 관(312, 322, 332, 342) 에는 유량 조절 밸브들(318, 328, 338, 348)이 각각 설치되어 있다.
도 8을 참조하면, 오존 가스 및 수증기 처리 챔버(400)에는 적어도 하나의 반도체 기판이 놓여지는 기판 지지대(401)와 챔버(400) 내부를 소정 온도 이상으로 가열할 수 있는 챔버 가열부(405)를 포함하고 있다. 챔버(400)에는 오존 가스 공급관(412)을 통해 오존 가스 공급기(410)가 수증기 가스 공급관(422)을 통해 수증기 공급기(420)가 배출 가스관(432)을 통해 오존 가스 수거 용기(430)가 각각 연결되어 있다. 각 관(412, 422, 432)에는 유량 조절 밸브들(418, 428, 438)이 각각 설치되어 있다.
도 9는 본 발명에 따른 세정 방법이 적용될 챔버 일체형 세정 시스템의 개략도이다. 도 6에 도시되어 있는 SCCO2 처리 챔버(300), 오존 가스 및 수증기 처리 챔버(400) 그리고 건조 챔버(600)의 기능을 동시에 수행하는 초임계상 및 기상 공정 챔버(800)와 도 6에 도시되어 있는 린스 챔버(500)의 기능을 수행하는 액상 공정 챔버(900)가 일체형으로 형성되어 있다. 개폐 수단(950)에 의해 챔버(800, 900)가 서로 분리되거나 상호 커뮤니케이션할 수 있다. 개폐 수단(950)은 지면에 대해 수직한 방향으로 슬라이딩 가능한 셔터 등으로 구성될 수 있으나, 당업자에 의해 다양하게 변형될 수 있다. 챔버(800, 900)에는 히터 자켓, 코일 저항형 히터 또는 램프 등의 챔버 가열부(805)가 설치되어 있다. 초임계상 및 기상 공정 챔버(800)에는 이산화탄소 공급관(312)을 통해 이산화탄소 공급기(310)가, 오존 가스 공급관(412)을 통해 오존 가스 공급기(410)가 수증기 공급관(422)을 통해 수증기 공급기(420)가 건조 가스 공급관(612)을 통해 건조 가스 공급기(610)가, 배출 가스관(832)을 통해 배출 가스 수거 용기(830)가 각각 연결되어 있다. 이산화탄소 공급기(310)는 SCCO2 생성기(317) 및 유량 조절 밸브(318)를 거쳐 챔버(300)로 연결된다. SCCO2 생성기(317)는 이산화탄소 가압기(314)와 이산화 탄소 가열기(316)로 구성되며, 이 둘의 순서는 바뀔수도 있다. 선택적으로, 순환 펌프(334)가 설치된 순환관(332)이 챔버(800)에 연결되어 있다. 또, 배출 가스 수거 용기(830)로부터 이산화탄소 공급기(310)로 수거된 이산화탄소를 재공급하는 재공급관(842)이 연결되어 있다. 각 관(312, 332, 412, 422, 612, 842)에는 유량 조절 밸브들(318, 338, 418, 428, 618, 838, 848)이 각각 설치되어 있다. 액상 공정 챔버(900)에는 린스제 공급관(912)을 통해 린스제 공급기(910)가 연결되어 있으며, 배출관(932)을 통해 배출 용기(930)가 연결되어 있다. 기판 지지대(801)는 복수의 기판(110)을 올려놓을 수 있는 복수의 슬롯들을 구비하는 기판 지지대로 구성하는 것이 바람직하나, 단일 기판을 지지할 수도 있다. 기판 지지대(801)는 초임계상 및 기상 공정 챔버(800)와 액상 공정 챔버(900) 사이를 자유롭게 이동할 수 있는 이동 수단(미도시)을 구비하고 있다. 기판 지지대(801)는 기판(110)이 린스제(920)와 접촉하고 있는 동안 기판(110)을 회전시킬 수 있는 회전 수단(미도시)을 구비할수도 있다. 또, 액상 공정 챔버(800)는 오버 플로우가 가능하도록 챔버(900) 벽으로부터 소정 거리 이격된 내부 배스(930)를 구비할 수도 있다.
도 10 및 도 11은 도 6 내지 도 8에 도시되어 있는 멀티 챔버형 세정 시스템을 사용하여 도 1 내지 도 5에 도시되어 있는 포토레지스트 및/또는 유기물을 구비하는 미세 전자 소자의 기판을 세정하는 본 발명의 제1 실시예에 따른 세정 방법의 흐름도 및 타이밍이다. 도 11의 타이밍도에는 오존 가스 및 수증기 처리 단계까지만 도시되어 있다.
먼저, 제1 시간(t1) 동안 기판(110)을 SCCO2 챔버(300)에 로딩하고 챔버(300)를 밀폐한다(S1).
이어서, 가압기(314)를 작동시켜 CO2 공급기(310)로부터 CO2를 챔버(300)에 공급하여 챔버(300)의 압력이 도 12에 도시되어 있는 임계 압력(Pc)을 지나 제1 공정 압력(Po1)이 되도록 가압한다(S2). 이어서, 가스 공급관(312)에 설치된 가열기(316)도 동작시킨다. 한편, 챔버(300)에 설치된 가열부(305)를 사용하여 챔버(300)의 온도가 임계 온도(Tc) 이상이 되도록 한다. 임계 압력(Pc)은 1070 psi 이고, 임계 온도(Tc)는 31℃ 이다. 챔버(300) 내의 압력은 1070 내지 5800 psi 이고, 온도는 50 내지 300℃가 되도록 하는 것이 바람직하다. 그 결과 도 12에 도시되어 있는 바와 같이 기상의 CO2가 SCCO2 로 전환된다.(화살표 참조)(S3). S2와 S3는 제1 시간(t1) 부터 제2 시간(t2) 동안 진행된다.
챔버(300)가 원하는 압력과 온도의 초임계 조건에 도달하면, 가압기(314)의 동작을 중단하고, 제2 시간(t2) 부터 제3 시간(t3) 동안 순환 펌프(334)를 작동시켜 기판(110)에 SCCO2 를 지속적으로 제공한다(S4). 이 단계에 의해 SCCO2 가 기판(110) 상의 포토레지스트 및/또는 유기물 내로 침투하여 이들을 팽윤시킨다. SCCO2는 기체의 장점인 뛰어난 확산속도와 액체의 장점인 밀도에서 기인하는 용해력을 겸비한다. 따라서, 포토레지스트 및/또는 유기물 내로 신속하게 침투하여 이들을 팽윤시킬 수 있다. 특히, 이온 주입등에 의해 경화된 포토레지스트 내로 용이하게 침투할 수 있다.
이어서, 제3 시간(t3) 부터 제4 시간(t4) 동안 배출관(322)을 통해 SCCO2 를 배출시켜 SCCO2 챔버(300)를 바로 감압한 후, 기판을 언로딩한다(S5). 도 12에 도시되어 있는 바와 같이 임계 압력 이하로 감압함으로써 챔버(300) 내의 SCCO2를 기상의 CO2로 전환시킬 수 있다. 즉, SCCO2만을 사용하기 때문에 압력을 그대로 유지하면서 챔버를 퍼지하는 종래의 퍼지 단계 없이 직접 감압을 실시하더라도 챔버(300) 내에 기상의 CO2 만이 잔류하게 되므로 기판(110) 표면이 오염되지 않는다. 또, 배출 가스 수거 용기(320)로 배출된 SCCO2도 상압 상태의 배출 가스 수거 용기(320)에서 기체 상태의 CO2 로 전환되어 재공급관(342)을 통해 재사용될 수 있다.
제4 시간(t4)부터 제5 시간(t5) 동안 로봇 암(210)을 사용하여 오존 가스 및 수증기 처리 챔버(400)에 기판(110)을 로딩한다(S6).
제 5 시간(t5) 부터 제6 시간(t6) 동안 설정된 공정 조건이 되도록 챔버(400) 및 공급기들(410, 420)을 조절한다(S7). 챔버 가열부(405) 및 압력 조절기(미도시)를 사용하여 챔버(400)의 온도 및 압력을 동작 온도 및 제2 동작 압력(Po2)으로 맞추어준다. 챔버(400)의 온도가 증가하는 것은 열에 의한 기체 분자의 운동에너지가 증가하여 반응속도 측면에서는 유리하지만, 반응 가스의 용해도는 온도가 증가할수록 감소하므로 반응에 기여하는 반응 가스의 양이 감소하여 반응에 불리하다. 따라서, 반응 속도와 용해도를 트레이드 오프하여 적당한 동작 온도가 되도록 한다. 바람직하기로는, 챔버(400) 온도는 50 내지 200℃ 범위, 더욱 바람직하기로는 95 내지 105℃로 한다. 챔버(400) 압력은 압력이 증가함에 따라 반응에 참여하는 가스의 농도가 증가하게 되므로 압력이 높을수록 바람직하나, 안전성을 고려하여 동작 압력(Po2)을 30 내지 200KPa의 압력으로 하고, 60KPa로 하는 것이 바람직하다. 이 때, 수증기 공급기(420)의 온도 또한 수증기 공급에 적당한 온도로 승온시킨다. 수증기 공급기(420)의 온도는 챔버(400) 내의 기판(110) 표면에 응축되어 형성되는 물 박막(water film)의 두께에 영향을 미치게된다. 물 박막의 두께가 증가할수록 제거력은 증가하지만 적정 두께 이상에서는 물 박막이 불균일하게 형성되므로 수증기 공급기(420)의 온도는 챔버(400)의 온도보다 약 10 내지 15℃ 정도 높도록 하는 것이 바람직하다. 다시말하면, 기판(110)의 온도가 기판에 공급되는 수증기의 온도보다 약 10 내지 15℃ 정도 낮은 것이 바람직하다. 한편, 오존 가스 공급기(410)내에서는 하기 반응식과 같은 반응에 의해 오존 가스를 생성한다.
O2 + e → 2O + e
O + O2 + O2 → O3 + O2
설정된 공정 압력 및 온도에 도달하면, 제6 시간(t6) 부터 제7 시간(t7) 동안 오존 가스 공급기(410)와 수증기 공급기(420)로부터 챔버(400) 내로 오존 가스 및 수증기를 주입하여 기판(110) 표면에 오존 가스 및 수증기를 제공한다(S8). 수증기 및 오존 가스가 챔버(400) 내로 유입되면, 기판(110)과 수증기의 온도 차이에 의해 기판(110) 표면과 수증기가 접촉하면서 응축되어 물 박막을 형성한다. 이어서, 기판(110) 표면에 형성된 물 박막내로 오존 가스가 평형 용해도에 해당하는 양만큼 용해되고, 하기 반응식 2와 같이 반응성이 강한 활성 라디칼을 형성한다.
O3 + OH- → O2 - + HO2
O3 + HO2 → 2O2 + OH
포토레지스트 및/또는 유기물들은 SCCO2 처리에 의해 팽윤되어 있기 때문에 상기 라디칼들이 쉽게 그 내부로 침투할 수 있다. 포토레지스트 및/또는 유기물 내부로 침투한 라디칼들은 포토레지스트 및/또는 유기물들을 산화시킨다. 산화에 의해 포토레지스트 및/또는 유기물을 수용성 물질(예: 카르복실산, 이산화탄소, 물 등)로 전환시킨다. 예를 들면, I-라인용 포토레지스트의 주성분인 노볼락 수지의 CH3 그룹과 디아조나프토퀴논의 아조 그룹이 수용성인 카르복실기로 전환된다.
계속해서, 제7 시간(t7) 부터 제8 시간(t8) 동안 챔버(400) 내를 불활성 가스로 퍼지한 후, 기판을 언로딩한다(S9). 불활성 가스 공급과 관련된 부분은 도면에서 생략하였다. 챔버(400)로부터 배출가스관(432)을 통해 오존 가스 수거 용기(430)로 수거된 오존 가스는 오존 가스 수거 용기(430)에서 산소(O2)로 전환된다.
이어서, 기판(110)을 로봇 암(210)을 사용하여 린스 챔버(500)로 이송하여 린스한다(S10). 린스제로는 DIW(DeIonized Water) 등을 사용하는 것이 적합하다. SCCO2 , 오존 가스 및 수증기의 처리로 인하여 수용성으로 변한 포토레지스트 및/또는 유기물들이 린스제에 용해되어 기판(110) 표면으로부터 완전히 제거된다.
마지막으로, 기판(110)을 건조 챔버(600)로 이송하여 이소프로필알코올(IPA) 또는 IPA와 N2 가스로 기판(110)을 건조시킨다(S11). 건조가 완료된 기판(110)을 로드락 챔버(100)로 언로딩함으로써 포토레지스트 및/또는 유기물 세정 공정을 완료한 후, 기판(110)이 담긴 카세트(120)를 소자 제조를 위한 후속 공정으로 이송시킨다.
도 13 및 도 14는 도 9의 챔버 일체형 세정 시스템을 사용하는 본 발명의 제2 실시예에 따른 세정 방법의 흐름도 및 타이밍도이다. 도 14의 타이밍도에는 오존 가스 및 수증기 처리 단계까지만 도시하였다.
도 9, 도 13 및 도 14를 참조하면, 먼저, 제1 시간(t1) 동안 챔버 일체형 세정 시스템의 초임계상 및 기상 공정 챔버(800)에 기판(110)을 로딩한다(S1). 챔버(800) 내로 공급되는 가스들의 주입 방향과 기판(110) 면이 평행하게 배치되도록 로딩하여 기판(110) 상에 공급되는 가스들이 기판(110)들 사이를 용이하게 통과하여 기판(110) 표면과 접촉할 수 있도록 한다. 이 때, 개폐 수단(905)을 잠그어 초임계상 및 기상 공정 챔버(800)와 액상 챔버(900)를 분리한다.
가압기(314)를 작동시켜 CO2 공급기(310)로부터 챔버(800)로 CO2 를 공급하여 챔버(800)의 압력이 임계 압력을 지나 제1 공정 압력(Po1)이 되도록 가압한다(S2). 이어서, CO2 공급관(312) 상에 설치된 가열기(316)를 동작시키고, 챔버(800)에 설치된 가열부(805)를 사용하여 챔버(800)의 온도가 임계 온도(Tc) 이상이 되도록 한다. 임계 압력(Pc)은 1070 psi 이고, 임계 온도(Tc)는 31℃ 이다. 챔버(800) 내의 압력은 1070 내지 5800 psi 이고, 온도는 50 내지 300℃가 되도록 하는 것이 바람직하다. 그 결과 기체 상태의 CO2가 SCCO2 로 전환된다(S3). S2와 S3는 제1 시간(t1) 부터 제2 시간(t2) 동안 진행된다.
챔버(800)가 원하는 압력과 온도의 초임계 조건에 도달하면, 가압기(314)의 동작을 중단하고, 제2 시간(t2) 부터 제3 시간(t3) 동안 순환 펌프(334)를 작동시켜 기판(110)에 SCCO2 를 지속적으로 제공한다(S4). 이 단계에 의해 기체의 장점인 뛰어난 확산속도와 액체의 장점인 밀도에서 기인하는 용해력을 겸비하는 SCCO2 가 포토레지스트 및/또는 유기물 내로 신속하게 침투하여 이들을 팽윤시킨다. 특히, 이온 주입 등에 의해 경화된 포토레지스트 내로 용이하게 침투할 수 있다.
이어서, 제3 시간(t3) 부터 제4 시간(t4) 동안 배출관(832)을 통해 SCCO2 를 배출시켜 챔버(800)를 임계압력(Pc) 이하로 감압한 후, 질소 가스등으로 퍼지한다(S5). 감압에 의해 챔버(800) 내의 SCCO2는 기상의 CO2 로 전환된다.
이어서, 제4 시간(t4) 부터 제5 시간(t5) 동안 챔버 가열부(805) 및 압력 조절기(미도시)를 사용하여 챔버(800)의 온도 및 압력을 동작 온도 및 제2 동작 압력(Po2)으로 맞추어준다(S6). 제2 동작 압력(Po2)은 제1 실시예에서 설명한 바와 같이 반응에 참여하는 오존 가스의 농도와 안전성을 트레이드 오프하여 설정한다. 챔버(800)의 온도는 후속의 오존 가스 및 수증기 처리 공정에 적합한 온도, 25 내지 300℃ 범위, 더욱 바람직하기로는 95-105℃로 조절하고, 수증기 공급기(420)의 온도 또한 수증기 공급에 적당한 온도, 예컨대 챔버(800)의 온도보다 약 10-15℃ 정도 높은 온도로 조절하고, 오존 가스 공급기(410)내에서 소정 농도의 오존을 생성한다.
이어서, 제5 시간(t5) 부터 제6 시간(t6) 동안 오존 가스 공급기(410)와 수증기 공급기(420)으로부터 챔버(800) 내로 오존 가스와 수증기를 주입하여 기판(110) 표면에 오존 가스 및 수증기를 제공한다(S7). 오존 가스 및 수증기가 챔버(800) 내로 유입되면, 기판(110)과 수증기의 온도 차이에 의해 기판(110) 표면과 수증기가 접촉하면서 응축되어 물 박막을 형성한다. 이어서, 물 박막내로 오존 가스가 평형 용해도에 해당하는 양만큼 용해되어 반응성이 강한 활성화된 라디칼을 형성하고, 이 라디칼들이 SCCO2 처리에 의해 팽윤되어 있는 포토레지스트 및/또는 유기물 내부로 침투하여 이들을 산화시켜 수용성 물질로 전환시킨다.
이어서 제6 시간(t6) 부터 제7 시간(t7) 동안 챔버(400)를 감압하고 퍼지한다(S8).
계속해서, 린스제 공급기(910)로부터 액상 공정 챔버(900)의 배스(930)에 린스제(920)를 공급한 후, 개폐 수단(950)을 열어서 기판 지지대(801)을 이동시켜 도 9의 점선으로 도시된 바와 같이 기판(110)을 린스제(920) 안에 완전히 담그어 린스 공정을 진행한다(S9). 효과적인 린스를 위하여 기판 지지대(801)의 회전 수단을 사용해 기판(110)을 회전시킬 수도 있고, 액상 공정 챔버(900)의 배스(930)에 초음파 진동을 가할 수도 있다. 또, 린스제(920)를 오버 플로우시킴으로써 기판(110) 표면이 오염되는 것을 방지할 수도 있으며, 오버 플로우된 린스제(920)는 배출관(932)을 통해 배출 용기(930)로 배출된다. 린스제로는 DIW 등을 사용할 수 있으며, 이 과정을 통해 SCCO2 그리고 오존 가스 및 수증기의 처리로 인하여 수용성으로 변한 포토레지스트 및/또는 유기물들이 린스제에 용해되어 기판(110) 표면으로부터 완전히 제거된다.
마지막으로, 개폐 수단(950)을 열어서 기판 지지대(801)를 다시 초임계상 및 기상 챔버(800)로 이동시킨 후, 개폐 수단(950)을 닫는다. 계속해서, 건조 가스 공급기(610)로부터 건조 가스, 예컨대 IPA를 챔버(800) 내로 공급하여 기판(110)을 건조시킨다(S10). 건조가 완료된 기판(110)을 챔버(800)로부터 언로딩한 후, 후속 공정으로 이송시킨다.
본 발명은 이하의 비 제한적인 실험예들을 통해 보다 자세히 설명될 것이다.
<실험예 1>
6매의 반도체 기판 상에 I-line 용 포토레지스트를 1,2㎛ 두께로 도포한 후, 하나는 이온 주입하지 않고, 나머지 5매는 비소의 도우즈를 달리하면서 이온 주입하였다. 반응 챔버의 온도 및 압력은 각각 105℃ 와 8.7 psi로, 수증기 공급기의 온도는 115℃로 설정하고, 오존 가스와 수증기를 처리한 후, 포토레지스트 제거량을 측정하였다.
한편, 다른 6매의 반도체 기판 상에 KrF용 포토레지스트를 6000Å 두께로 도포한 후, I-line 용 포토레지스트의 경우와 마찬가지로 이온주입하고 오존 가스와 수증기를 처리한 후, 포토레지스트 제거량을 측정하였다.
그 결과가 도 15에 도시되어 있다. I-line용 포토레지스트의 경우 도우즈양이 3×1013atoms/㎠ 도우즈까지는 이온주입하지 않은 포토레지스트와 동일한 제거량을 나타내나, 3×1014atoms/㎠ 도우즈에서는 제거량이 현저히 감소하고, 3×1015atoms/㎠ 도우즈에서는 포토레지스트가 전혀 제거되지 않음을 알 수 있다. KrF용 포토레지스트의 경우에는 3×1014atoms/㎠ 도우즈까지는 이온주입하지 않은 포토레지스트와 동일한 제거량을 나타내나, 3×1015atoms/㎠ 도우즈에서는 포토레지스트가 전혀 제거되지 않음을 알 수 있다. 이로부터 오존 가스와 수증기만을 처리할 경우 소정 도우즈 이상으로 이온주입된 포토레지스트를 제거할 수 없음을 알 수 있다.
<실험예 2>
반도체 기판 상에 I-line 용 포토레지스트를 1,2㎛ 두께로 도포한 후, 비소를 3×1015atoms/㎠ 도우즈로 이온 주입하였다(도 1 내지 도 3 참고). 이어서, 챔버 압력은 2175psi, 온도는 100℃로 하고, SCCO2를 10분간 처리한 후, 포토레지스트 표면을 SEM으로 관찰한 결과가 도 16에 도시되어 있다. 도 16의 SEM 사진으로부터 기체의 장점인 뛰어난 확산속도와 액체의 장점인 밀도에서 기인하는 용해력을 겸비한 SCCO2 가 이온 주입에 의해 경화된 포토레지스트의 손상층에 용이하게 침투하여 이들을 팽윤시켰음을 알 수 있다.
<실험예 3>
반도체 기판 상에 I-line 용 포토레지스트가 1,2㎛ 두께로 형성된 블랭킷(blanket) 기판을 준비한 후, 비소를 3×1015atoms/㎠ 도우즈로 이온 주입하였다. 먼저, SCCO2 챔버 압력은 2175psi, 온도는 100℃로 하여, 이온 주입된 기판에 SCCO2를 10분간 처리하였다. 이어서, 오존 가스 및 수증기 처리 챔버의 압력은 8.7psi, 온도는 105℃로 하여, SCCO2 처리된 기판에 오존 가스와 수증기를 10분간 처리하였다. 계속해서, DIW로 기판을 린스한 후, IPA로 건조한 후, 기판을 SEM으로 검사해본 결과 포토레지스트가 완전히 제거되었음을 알 수 있었다.
<실험예 4>
10000Å 두께의 산화막(PE-TEOS)이 형성된 블랭킷(blanket) 기판을 준비하였다. IC1000 상부 패드와 Suba4 서브 패드가 장착된 AMAT사의 Mirra 장비를 사용하여 4psi 하향 압력(down pressure), 77rpm 테이블 속도로, 산화막 CMP용 슬러리를 사용하여 CMP를 수행하였다. 이어서, ONTRAK 클리너에서 브러쉬 및 희석된 불화수소를 사용하여 잔류 슬러리를 제거하였다. SCCO2 챔버 압력은 2175psi, 온도는 100℃로 하여, 잔류 슬러리를 제거한 기판에 SCCO2를 10분간 처리하였다. 이어서, 오존 가스 및 수증기 처리 챔버의 압력은 8.7psi, 온도는 105℃로 하여, SCCO2 처리된 기판에 오존 가스와 수증기를 10분간 처리하였다. 계속해서, DIW로 기판을 린스한 후, IPA로 건조한 후, 기판을 SEM으로 검사해본 결과 유기물성 결함이 완전히 제거되었음을 알 수 있었다.
<실험예 5>
기판 전면에 산화막(PE-TEOS)을 형성한 후, 티타늄막, 티타늄질화막, 텅스텐막 및 반사방지막을 차례대로 형성하였다. 이어서, 텅스텐 배선을 정의하는 포토레지스트 패턴을 형성하였다. 다음에, 포토레지스트 패턴을 식각마스크로 사용하여 반사방지막, 텅스텐막, 티타늄질화막 및 티타늄막을 차례대로 식각하였다. 텅스텐막의 식각 가스로는 육플루오르황(SF6), 질소(N2) 및 염소(Cl2)의 혼합 가스를 사용하였고, 티타늄 질화막과 티타늄막의 식각 가스로는 질소와 염소의 혼합 가스를 사용하였다. 이어서, SCCO2 챔버 압력은 2175psi, 온도는 100℃로 하여 식각이 완료된 기판에 SCCO2를 10분간 처리하였다. 이어서, 오존 가스 및 수증기 처리 챔버의 압력은 8.7psi, 온도는 105℃로 하여, SCCO2 처리된 기판에 오존 가스와 수증기를 10분간 처리하였다. 계속해서, DIW로 기판을 린스한 후, IPA로 건조한 후, 기판을 SEM으로 검사해본 결과 포토레지스트 패턴 및 식각 부산물이 완전히 제거되었음을 알 수 있었다.
본 발명에 따른 세정 방법은 기판 표면에 손상을 일으키는 에싱 공정 없이 진행된다. 또 용매없이, 감압만으로 기상의 CO2 로 전환가능한 SCCO2 만을 사용하므로 공정 처리 시간이 단축되어 높은 생산효율을 담보할 수 있다. 또, 모든 종류의 포토레지스트 및/또는 유기물에 적용할 수 있는 범용성을 가지고 있으며, 반응 부산물이 CO2 및 O2 가스로 배출되는 친환경적인 방법이다.
도면 및 실시예에는, 본 발명의 전형적인 바람직한 실시예가 개시되었으며, 비록 특정한 용어를 사용하였지만, 이것들은 단지 일반적이고 묘사적인 의미로 사용된 것이며, 본 발명의 방법에 사용하는 것으로 설명된 장치들은 당업자에 의해 다양하게 변형될 수 있으며, 후술되는 청구항에 의하여 정해지는 본 발명의 사상을 제한하기 위하여 사용된 것은 아니다.
도 1은 이온 주입에 의해 손상층이 형성된 포토레지스트의 단면도이다.
도 2 및 도 3은 각각 손상층이 형성된 실제 포토레지스트의 단면과 상면의 SEM(Scanning Electron Microscope) 사진이다.
도 4는 반응성 이온 식각에 의해 손상층이 형성된 포토레지스트의 단면도이다.
도 5는 기판 상에 잔류하는 유기물성 결함의 SEM 사진이다.
도 6은 본 발명에 따른 세정 방법에 사용되는 멀티 챔버형 세정 시스템의 개략도이다.
도 7은 초임계 이산화탄소 처리 챔버의 개략도이다.
도 8은 오존 및 수증기 처리 챔버의 개략도이다.
도 9는 본 발명에 따른 세정 방법에 사용되는 챔버 일체형 세정 시스템의 개략도이다.
도 10 및 도 11은 각각 본 발명의 제1 실시예에 따른 세정 방법 흐름도와 타이밍도이다.
도 12는 CO2의 상태도이다.
도 13 및 도 14는 각각 본 발명의 제2 실시예에 따른 세정 방법의 흐름도와 타이밍도이다.
도 15는 오존 가스 및 수증기에 의한 포토레지스트 제거량을 이온주입 도우즈의 함수로 나타낸 그래프이다.
도 16은 초임계 이산화탄소를 처리한 포토레지스트 상면의 SEM 사진이다.

Claims (25)

  1. 세정되어야 할 물질을 구비하는 미세 전자 소자 기판 표면에 초임계 이산화탄소를 제공하는 단계; 및
    상기 기판 표면에 오존 가스 및 수증기를 제공하는 단계를 포함하는 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  2. 세정되어야 할 물질을 구비하는 미세 전자 소자 기판 표면에 초임계 이산화탄소를 제공하여 상기 세정되어야 할 물질을 팽윤시키는 단계; 및
    상기 기판 표면에 오존 가스 및 수증기를 제공하는 단계를 포함하는 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  3. 세정되어야 할 물질을 구비하는 미세 전자 소자 기판 표면에 초임계 이산화탄소를 제공하여 상기 세정되어야 할 물질을 팽윤시키는 단계; 및
    상기 기판 표면에 오존 가스 및 수증기를 제공하여 상기 세정되어야 할 물질을 산화시키는 단계를 포함하는 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  4. 세정되어야 할 물질을 구비하는 미세 전자 소자 기판 표면에 초임계 이산화탄소를 제공하여 상기 세정되어야 할 물질을 팽윤시키는 단계; 및
    상기 기판 표면에 오존 가스 및 수증기를 제공하여 상기 세정되어야 할 물질을 수용성으로 전환시키는 단계를 포함하는 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  5. 세정되어야 할 물질을 구비하는 미세 전자 소자 기판 표면에 초임계 이산화탄소를 제공하는 단계;
    상기 기판 표면에 오존 가스 및 수증기를 제공하는 단계; 및
    상기 기판 표면을 린스하여 상기 세정되어야 할 물질을 상기 기판 표면으로부터 제거하는 단계를 포함하는 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  6. 세정되어야 할 물질을 구비하는 미세 전자 소자 기판 표면에 초임계 이산화탄소를 제공하여 상기 세정되어야 할 물질을 팽윤시키는 단계;
    상기 기판 표면에 오존 가스 및 수증기를 제공하는 단계; 및
    상기 기판 표면을 린스하여 상기 세정되어야 할 물질을 상기 기판 표면으로부터 제거하는 단계를 포함하는 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  7. 세정되어야 할 물질을 구비하는 미세 전자 소자 기판 표면에 초임계 이산화탄소를 제공하여 상기 세정되어야 할 물질을 팽윤시키는 단계;
    상기 기판 표면에 오존 가스 및 수증기를 제공하여 상기 세정되어야 할 물질을 산화시키는 단계; 및
    상기 기판 표면을 린스하여 상기 세정되어야 할 물질을 상기 기판 표면으로부터 제거하는 단계를 포함하는 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  8. 세정되어야 할 물질을 구비하는 미세 전자 소자 기판 표면에 초임계 이산화탄소를 제공하여 상기 세정되어야 할 물질을 팽윤시키는 단계;
    상기 기판 표면에 오존 가스 및 수증기를 제공하여 상기 세정되어야 할 물질을 수용성으로 전환시키는 단계; 및
    상기 기판 표면을 린스하여 상기 세정되어야 할 물질을 상기 기판 표면으로부터 제거하는 단계를 포함하는 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  9. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 초임계 이산화탄소를 제공하는 단계는 용매없이 상기 초임계 이산화탄소만을 제공하는 단계인 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  10. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 초임계 이산화탄소를 제공하는 단계는 50 내지 300℃에서 진행되는 단계인 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  11. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 초임계 이산화탄소를 제공하는 단계는 1070 내지 5800 psi 에서 진행되는 단계인 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  12. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 오존 가스 및 수증기를 제공하는 단계 전에,
    상기 초임계 이산화탄소의 임계 압력보다 낮은 압력으로 감압함으로써 상기 기판으로부터 상기 초임계 이산화탄소를 제거하는 단계를 더 포함하는 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  13. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 오존 가스 및 수증기를 제공하는 단계는 상기 기판의 온도가 상기 수증기의 온도보다 10-15℃ 낮은 조건에서 진행되는 단계인 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  14. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 오존 가스 및 수증기를 제공하는 단계는 50 내지 200℃에서 진행되는 단계인 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  15. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 오존 가스 및 수증기를 제공하는 단계는 상기 초임계 이산화탄소의 임계 압력보다 낮은 압력에서 진행되는 단계인 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  16. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 오존 가스 및 수증기를 제공하는 단계는 30 내지 200KPa에서 진행되는 단계인 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  17. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 세정되어야 할 물질은 포토레지스트인 것을 특징으로 하는 미세 전자 소자 기판의 세정 방법.
  18. 제17 항에 있어서, 상기 포토레지스트는 이온 주입시 마스크로 사용된 포토레지스트인 것을 특징으로 하는 미세 전자 소자의 기판 세정 방법.
  19. 제18 항에 있어서, 상기 포토레지스트는 1×1014 atoms/㎠ 도우즈 이상으로 이온 주입된 포토레지스트인 것을 특징으로 하는 미세 전자 소자의 기판 세정 방법.
  20. 제19 항에 있어서, 상기 포토레지스트는 1×1015 atoms/㎠ 도우즈 이상으로 이온 주입된 포토레지스트인 것을 특징으로 하는 미세 전자 소자의 기판 세정 방법.
  21. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 세정되어야 할 물질은 건식 식각시 마스크로 사용된 포토레지스트인 것을 특징으로 하는 미세 전자 소자의 기판 세정 방법.
  22. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 세정되어야 할 물질은 건식 식각 부산물인 것을 특징으로 하는 미세 전자 소자의 기판 세정 방법.
  23. 제1 항 내지 제8 항 중 어느 한 항에 있어서, 상기 세정되어야 할 물질은 CMP 후 유기물성 잔류물인 것을 특징으로 하는 미세 전자 소자의 기판 세정 방법.
  24. 제5 항 내지 제8 항 중 어느 한 항에 있어서, 상기 린스 단계는 물을 사용하는 단계인 것을 특징으로 하는 미세 전자 소자의 기판 세정 방법.
  25. 제5 항 내지 제8 항 중 어느 한 항에 있어서, 건조 단계를 더 포함하는 것을 특징으로 하는 미세 전자 소자의 기판 세정 방법.
KR10-2003-0042133A 2003-06-26 2003-06-26 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법 KR100505693B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR10-2003-0042133A KR100505693B1 (ko) 2003-06-26 2003-06-26 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
US10/712,775 US7431855B2 (en) 2003-06-26 2003-11-14 Apparatus and method for removing photoresist from a substrate
DE102004029077A DE102004029077B4 (de) 2003-06-26 2004-06-16 Vorrichtung und Verfahren zur Entfernung eines Photoresists von einem Substrat
JP2004188017A JP4489513B2 (ja) 2003-06-26 2004-06-25 基板からフォトレジストを除去するための装置及び方法
US12/230,293 US20090065032A1 (en) 2003-06-26 2008-08-27 Apparatus and method for removing photoresist from a substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2003-0042133A KR100505693B1 (ko) 2003-06-26 2003-06-26 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법

Publications (2)

Publication Number Publication Date
KR20050001797A KR20050001797A (ko) 2005-01-07
KR100505693B1 true KR100505693B1 (ko) 2005-08-03

Family

ID=33536298

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0042133A KR100505693B1 (ko) 2003-06-26 2003-06-26 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법

Country Status (2)

Country Link
US (2) US7431855B2 (ko)
KR (1) KR100505693B1 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006076005A1 (en) * 2005-01-12 2006-07-20 Boc, Inc. System for cleaning a surface using cryogenic aerosol and fluid reactant
KR100839349B1 (ko) 2005-06-28 2008-06-19 삼성전자주식회사 포토레지스트 처리 방법 및 포토레지스트의 제거 방법.
US20070089761A1 (en) * 2005-10-21 2007-04-26 Souvik Banerjee Non-plasma method of removing photoresist from a substrate
KR100811267B1 (ko) * 2005-12-22 2008-03-07 주식회사 하이닉스반도체 반도체소자의 듀얼게이트 형성방법
US8084367B2 (en) * 2006-05-24 2011-12-27 Samsung Electronics Co., Ltd Etching, cleaning and drying methods using supercritical fluid and chamber systems using these methods
KR100761764B1 (ko) * 2006-06-27 2007-09-28 삼성전자주식회사 포토레지스트 패턴 제거 방법, 이를 이용한 듀얼폴리실리콘막 형성 방법 및 반도체 장치의 제조 방법
KR100807234B1 (ko) * 2006-11-16 2008-02-28 삼성전자주식회사 포토레지스트 제거방법 및 반도체 소자의 제조 방법
TW200834662A (en) * 2006-12-12 2008-08-16 Applied Materials Inc Wet photoresist stripping process and apparatus
KR100889307B1 (ko) * 2007-08-14 2009-03-18 세메스 주식회사 공정챔버 및 이를 구비하는 기판 처리 설비, 그리고 상기설비의 기판 처리 방법
KR101240333B1 (ko) * 2007-08-24 2013-03-07 삼성전자주식회사 마스크 표면에 흡착된 이온 분석 장치 및 방법
JP2009170554A (ja) * 2008-01-11 2009-07-30 Panasonic Corp 半導体装置の製造方法
CN102346381A (zh) * 2010-07-30 2012-02-08 中国科学院微电子研究所 高温高压水辅助的超临界二氧化碳剥离光刻胶的装置及方法
JP5843277B2 (ja) 2011-07-19 2016-01-13 株式会社東芝 半導体基板の超臨界乾燥方法及び装置
JP6168271B2 (ja) 2012-08-08 2017-07-26 株式会社Screenホールディングス 基板処理装置および基板処理方法
US10553720B2 (en) 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5672239A (en) * 1995-05-10 1997-09-30 Tegal Corporation Integrated semiconductor wafer processing system
US5908510A (en) 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6242165B1 (en) * 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
IL142828A0 (en) * 1998-09-25 2002-03-10 Childrens Medical Center Short peptides which selectively modulate the activity of protein kinases
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
JP5073902B2 (ja) * 1999-11-02 2012-11-14 東京エレクトロン株式会社 多数のワークピースを超臨界処理する方法及び装置
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
JP4566468B2 (ja) * 2000-07-04 2010-10-20 株式会社リコー 電子写真感光体及びそれを有するプロセスカートリッジ、電子写真装置
WO2002011191A2 (en) 2000-07-31 2002-02-07 The Deflex Llc Near critical and supercritical ozone substrate treatment and apparatus for same
US6558477B1 (en) 2000-10-16 2003-05-06 Micron Technology, Inc. Removal of photoresist through the use of hot deionized water bath, water vapor and ozone gas
JP2002237481A (ja) * 2001-02-09 2002-08-23 Kobe Steel Ltd 微細構造体の洗浄方法
US6602351B2 (en) * 2001-02-15 2003-08-05 Micell Technologies, Inc. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6838015B2 (en) 2001-09-04 2005-01-04 International Business Machines Corporation Liquid or supercritical carbon dioxide composition
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6800142B1 (en) * 2002-05-30 2004-10-05 Novellus Systems, Inc. Method for removing photoresist and post-etch residue using activated peroxide followed by supercritical fluid treatment
JP3999059B2 (ja) * 2002-06-26 2007-10-31 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US6715498B1 (en) * 2002-09-06 2004-04-06 Novellus Systems, Inc. Method and apparatus for radiation enhanced supercritical fluid processing
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
US20060102590A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry

Also Published As

Publication number Publication date
US20090065032A1 (en) 2009-03-12
US7431855B2 (en) 2008-10-07
US20040266205A1 (en) 2004-12-30
KR20050001797A (ko) 2005-01-07

Similar Documents

Publication Publication Date Title
US6848455B1 (en) Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
KR100505693B1 (ko) 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
US6715498B1 (en) Method and apparatus for radiation enhanced supercritical fluid processing
US7169540B2 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
JP4621400B2 (ja) 半導体基板処理装置
KR100559017B1 (ko) 초임계 이산화탄소를 이용하는 반도체로부터의포토레지스트 및 포토레지스트 잔사의 제거법
TW201532141A (zh) 蝕刻後聚合物及硬遮罩移除之加強型移除用方法及硬體
JP2003526936A (ja) 電子部品処理用の方法及び装置
US7410909B2 (en) Method of removing ion implanted photoresist
US6184134B1 (en) Dry process for cleaning residues/polymers after metal etch
TW201349345A (zh) 用以蝕刻有機硬遮罩之方法
KR100597656B1 (ko) 반도체의 제조를 위한 세정방법 및 세정장치
JP4031440B2 (ja) 超臨界処理を用いる汚染物の除去
KR100969027B1 (ko) 세정 과정에서의 손상을 저감시키기 위한 다공질 유전체막의 처리 방법
KR20060009232A (ko) 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독가능한기록 매체
JP4489513B2 (ja) 基板からフォトレジストを除去するための装置及び方法
JP4459774B2 (ja) 基板処理方法、基板処理装置およびコンピュータプログラム
KR100602115B1 (ko) 습식 세정장치 및 세정방법
US8017568B2 (en) Cleaning residues from semiconductor structures
CN1960813A (zh) 在制备集成电路产品过程中用于干燥构图晶片的组合物和方法
US6652666B2 (en) Wet dip method for photoresist and polymer stripping without buffer treatment step
US20070000519A1 (en) Removal of residues for low-k dielectric materials in wafer processing
KR20090025689A (ko) 초임계이산화탄소 내에서 공용매와 첨가제를 이용하여고이온주입된 포토레지스트의 제거방법
WO2021212330A1 (en) Method and apparatus for removing particles or photoresist on substrates
JP2007281148A (ja) 基体の洗浄方法、半導体装置の製造方法、及び洗浄装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130701

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140630

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150630

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160630

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20170630

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20180629

Year of fee payment: 14