JP5073902B2 - 多数のワークピースを超臨界処理する方法及び装置 - Google Patents
多数のワークピースを超臨界処理する方法及び装置 Download PDFInfo
- Publication number
- JP5073902B2 JP5073902B2 JP2001535218A JP2001535218A JP5073902B2 JP 5073902 B2 JP5073902 B2 JP 5073902B2 JP 2001535218 A JP2001535218 A JP 2001535218A JP 2001535218 A JP2001535218 A JP 2001535218A JP 5073902 B2 JP5073902 B2 JP 5073902B2
- Authority
- JP
- Japan
- Prior art keywords
- workpiece
- supercritical
- supercritical processing
- robot
- module
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000012545 processing Methods 0.000 title claims description 142
- 238000000034 method Methods 0.000 title claims description 31
- 238000012546 transfer Methods 0.000 claims description 78
- 210000002159 anterior chamber Anatomy 0.000 claims description 7
- 230000007246 mechanism Effects 0.000 claims description 7
- 239000011538 cleaning material Substances 0.000 claims 8
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 134
- 239000001569 carbon dioxide Substances 0.000 description 67
- 229910002092 carbon dioxide Inorganic materials 0.000 description 67
- 229920002120 photoresistant polymer Polymers 0.000 description 60
- 235000012431 wafers Nutrition 0.000 description 51
- 239000000126 substance Substances 0.000 description 24
- 239000004065 semiconductor Substances 0.000 description 22
- 238000005530 etching Methods 0.000 description 20
- 239000002904 solvent Substances 0.000 description 20
- 239000007789 gas Substances 0.000 description 17
- 239000000758 substrate Substances 0.000 description 15
- 238000002347 injection Methods 0.000 description 11
- 239000007924 injection Substances 0.000 description 11
- 238000001465 metallisation Methods 0.000 description 9
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- NTIZESTWPVYFNL-UHFFFAOYSA-N Methyl isobutyl ketone Chemical compound CC(C)CC(C)=O NTIZESTWPVYFNL-UHFFFAOYSA-N 0.000 description 7
- UIHCLUNTQKBZGK-UHFFFAOYSA-N Methyl isobutyl ketone Natural products CCC(C)C(C)=O UIHCLUNTQKBZGK-UHFFFAOYSA-N 0.000 description 7
- 238000011161 development Methods 0.000 description 7
- 238000004140 cleaning Methods 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- 239000012636 effector Substances 0.000 description 6
- 238000007689 inspection Methods 0.000 description 5
- 239000002184 metal Substances 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- 239000000356 contaminant Substances 0.000 description 4
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000011253 protective coating Substances 0.000 description 2
- 208000036822 Small cell carcinoma of the ovary Diseases 0.000 description 1
- 150000001298 alcohols Chemical class 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000000463 material Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 201000005292 ovarian small cell carcinoma Diseases 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000004611 spectroscopical analysis Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
- 238000013022 venting Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67184—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
- H01L21/67213—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67745—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Extraction Or Liquid Replacement (AREA)
- Physical Vapour Deposition (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
Description
発明の属する技術分野
本発明は超臨界処理の分野に関する。とりわけ、本発明は、多数のワークピースを同時に処理する超臨界処理の分野に関する。本出願の請求の範囲は、1999年11月2日付の出願の米国仮特許出願第06/163121号の優先権を主張する。同出願を参照することにより本明細書に組み込まれる。
【0002】
従来の技術
半導体の製造において、イオン注入段階、エッチング段階及び他の処理段階を使用している。イオン注入段階において、フォトレジストはドーパントが注入されていない半導体基板の領域をマスクする。エッチング段階において、フォトレジストは、エッチングされない半導体基板の領域をマスクする。他の処理段階の例には、処理されるウェハーのブランケット保護被覆として、又はMEMS(ミクロ電子機械システム)装置のブランケット保護被覆としてフォトレジストを使用することが含まれている。イオン注入段階の後、フォトレジストは、硬い外側クラストで被覆したゼリー状芯を露出する。硬い外側クラストはフォトレジストを除去することが難しい。エッチング段階の後、残留物(エッチング残留物と混合されたフォトレジスト残留物)はエッチングした構造の側壁を被覆する。一種のエッチング段階とエッチングされた材料に依存して、エッチング残留物で混合されたフォトレジスト残留物は、エッチング残留物で混合されたフォオトレジスト残留物がエッチングした構造の側壁に強く接着されることが多いので、除去問題において改善する必要がある。
【0003】
一般に、従来技術では、フォトレジスト及び残留物は、ウェットクリーンバス内で洗浄した後に、酸素プラズマ内でプラズマ灰化によって除去される。従来技術の半導体エッチング及び金属被覆処理が図1のブロック線図に示されている。半導体エッチング及び金属被覆処理10は、フォトレジスト塗布段階12と、フォトレジスト露出段階14と、フォトレジスト現像段階16と、誘電エッチング段階18と、灰化段階20と、ウェットクリーン段階22と、金属堆積段階24とを有する。フォトレジスト塗布段階12において、フォトレジストは、露出される酸化物層を有するウェハーに塗布される。フォトレジスト露出段階14において、フォトレジストは光に晒され、フォトレジストはマスクによって部分的にブロックされる。
【0004】
フォトレジストが正型であるか又は負型であるかに依存して、露出されたフォトレジスト又は露出されていないフォトレジストのそれぞれは、フォトレジスト現像段階16において除去され、酸化物層に露出パターンを残している。誘電エッチング段階18において、酸化物層上の露出パターンは、酸化物層に露出パターンをエッチングするRIE(反応性イオンエッチング)処理においてエッチングされ、エッチングパターンを形成し、その一方で、フォトレジストを部分的にエッチングする。これにより、エッチング外形の側壁を被覆する残留物を生成し、その一方で、フォトレジストを硬化させる。灰化段階20において、酸素プラズマにより、フォトレジスト及び残留物を酸化させて部分的に除去する。ウェットクリーン段階22では、残留しているフォトレジスト及び残留物がウェットクリーンバス内で除去される。
【0005】
金属堆積段階24において、エッチングパターンを充填しかつエッチングされていない領域を覆っているウェハー上に金属層が堆積させられる。次の処理において、回路を形成するように、エッチングされていない領域を覆っている金属の少なくとも一部分が除去される。
【0006】
ニシカワ他は、1990年7月31日付に発行された米国特許第4944837号において、液化されたガス又は超臨界ガスを使用してレジストを除去する従来の方法を列挙している。レジストを有する基板は、液化されたガス又は超臨界ガスを含んでいる圧力容器内に配置される。所定時間経過後、液化されたガス又は超臨界ガスは、急速に膨張し、それによりレジストを除去する。
【0007】
ニシカワ他は、超臨界二酸化炭素をフォトレジストのためのデベロッパとして使用することができるということを教唆している。フォトレジスト層を有する基板は一定のパターンで光に露出され、潜像を形成する。フォトレジストと潜像を有する基板は30分超臨界二酸化炭素バス内に配置される。超臨界二酸化炭素は濃縮され、フォトレジストのパターンを残す。ニシカワ他は、0.5%の重量のメチルイソブチルケトン(MIBK)を超臨界二酸化炭素に追加することができ、それにより、超臨界二酸化炭素の有効性を増し、フォトレジストの現像時間を30分から5分に減らすということを教唆している。
【0008】
ニシカワ他は、超臨界二酸化炭素と7%の重量のMIBKを使用してフォトレジストを除去することができるということも教唆している。フォトレジストを有する基板は、30〜45分間、超臨界二酸化炭素内及びMIBK内で配置される。超臨界二酸化炭素を濃縮させる時にフォトレジストが除去される。
【0009】
ニシカワ他によって教唆された方法は、多数の理由で半導体製造ラインには不適切である。基板からフォトレジストを除去するために液化されたガス又は超臨界ガスを急速に膨張させると、基板が破損する可能性がある。また、フォトレジスト現像処理は30分もかかるのであまりにも非効率的である。MIBKは有毒でありかつより適切な選択ができない時のみにMIBKは使用されるので、MIBKを使用したフォトレジスト現像処理又は除去処理は好ましくない。
【0010】
スミスジュニア他は、1995年1月3日に発行した米国特許第5377705号において、ワークピースから汚染物質を除去する装置を教唆している。汚染物質には、有機的な粒状でイオン汚染物質が含まれる。この除去装置は、加圧可能な掃除容器と、液体二酸化炭素と、貯蔵容器と、ポンプと、溶媒搬送装置と、分離器と、コンデンサと、種々の弁とを有している。ポンプにより二酸化炭素ガス及び溶媒を掃除容器に移送し、二酸化炭素ガスを超臨界二酸化炭素に加圧する。超臨界二酸化炭素及び溶媒によりワークピースから汚染物質を除去する。弁により超臨界二酸化炭素及び溶媒を掃除容器から送ることができ、その一方で、ポンプにより超臨界二酸化炭素及び溶媒を補充する。分離器は、溶媒を超臨界二酸化炭素から分離する。コンデンサは二酸化炭素を液体二酸化炭素に濃縮し、液体二酸化炭素容器を補充することができる。
【0011】
スミスジュニア他の教唆するフォトレジスト及び残留物を除去する装置を採用すると、多数の困難な点がある。加圧可能な掃除容器は、半導体基板の操作のために適切に構成されていない。掃除中において超臨界二酸化炭素及び溶媒を送ることは非効率である。このような装置を半導体製造ラインの処理能力の要求に容易に適用することができない。このような装置は安全な半導体基板操作の助けとならない。それは半導体製造ラインにおいて重大である。このような装置は半導体基板処理には経済的でない。
【0012】
半導体製造ラインに適した、超臨界二酸化炭素を使用してフォトレジストを現像する方法が要求されている。
【0013】
半導体製造ラインに適した、超臨界二酸化炭素を使用してフォトレジストを除去する方法が要求されている。
【0014】
半導体基板を操作するために構成された超臨界処理装置が要求されている。
【0015】
処理室内で流体流れを生成するために処理室から超臨界二酸化炭素及び溶媒を必ずしも流す必要がない超臨界処理装置が要求されている。
【0016】
半導体製造ラインの処理能力の要求を満たす超臨界処理装置が要求されている。
【0017】
安全な半導体基板操作を提供する超臨界処理装置が要求されている。
【0018】
経済的な半導体基板処理を提供する超臨界処理装置が要求されている。
【0019】
発明の概要
本発明は多数のワークピースの超臨界処理装置である。この超臨界処理装置は、移送モジュールと、第一及び第二の超臨界処理モジュールと、ロボットとを有する。移送モジュールは入口を有する。第一及び第二の超臨界処理モジュールは移送モジュールに連結されている。ロボットは好ましくは移送モジュール内に配置されている。操作時において、ロボットは第一ワークピースを移送モジュールの入口から第一超臨界処理モジュールへ移送する。ロボットは第二ワークピースを第二超臨界処理モジュールへ移送する。ワークピースが処理された後、ロボットは第一及び第二のワークピースを移送モジュールの入口に戻す。あるいは、超臨界処理装置は移送モジュールに連結されたさらなる超臨界処理モジュールを有する。
【0020】
好適な実施例の詳細な説明
図2において、本発明の半導体のエッチング及び金属被覆処理をブロック図で示す。半導体のエッチング及び金属被覆処理30は、フォトレジスト塗布段階32と、フォトレジスト露出段階34と、フォトレジスト現像段階36と、誘電エッチング段階38と、超臨界除去処理40と、金属堆積段階42とを含む。フォトレジスト塗布段階32において、フォトレジストは、露出される酸化物層を有するウェハーに塗布される。フォトレジスト露出段階34において、フォトレジストは光に晒され、フォトレジストはマスクによって部分的にブロックされる。
【0021】
フォトレジストが正型であるか又は負型であるか否かに依存して、露出されるフォトレジスト又は露出されないフォトレジストのそれぞれは、フォトレジスト現像段階36において除去され、酸化物層に露出パターンを残す。誘電エッチング段階38において、酸化物層上の露出パターンは、好ましくはRIE(反応性イオンエッチング)処理でエッチングされる。RIE処理において、フォトレジストを部分的にエッチングしながら、酸化物層内に露出されたパターンをエッチングする。これにより、フォトレジストを硬化させながら、エッチングした構造の側壁を被覆する残留物を生成する。
【0022】
超臨界除去処理40において、超臨界二酸化炭素及び溶媒がフォトレジスト及び残留物を除去するために使用される。金属堆積段階42において、金属層をウェハー上に堆積させてエッチングパターンを充填しかつエッチングされていない領域を覆っている。次の処理では、エッチングされていない領域を覆っている金属の少なくとも一部分が、回路を形成するために除去される。
【0023】
本発明の超臨界除去処理40が図3においてブロック図で示される。超臨界除去処理40は、第一処理段階52においてウェハー上のフォトレジストと残留物と共にウェハーを圧力室内に配置し、圧力室をシールすることによって開始する。第二処理段階54において、二酸化炭素が超臨界二酸化炭素(SCCO2)になるまで二酸化炭素を含む圧力室が加圧される。第三処理段階56において、超臨界二酸化炭素により、溶媒を圧力室内に運搬する。第四処理段階58において、フォトレジスト及び残留物がウェハーから除去されるまで、超臨界二酸化炭素及び溶媒をウェハーと接触を維持させ続ける。第五処理段階60において、圧力室は部分的に排出される。第六処理段階において、ウェハーがすすがれる。第七処理段階64において、圧力室を加圧してウェハーを除去することによって超臨界除去処理40が終了する。
【0024】
超臨界除去処理40は、好ましくは、本発明の好適な超臨界処理システムによって半導体製造ラインで実施される。超臨界処理システムは図4に示されている。好適な超臨界処理システム70は、移送モジュール72と、第一超臨界処理モジュール74から第五超臨界処理モジュール78と、ロボット80と、制御電子機器82とを有する。移送モジュールは、第一処理ポート84から第五処理ポート88と、移送モジュール90とを有する。移送モジュール入口90は、第一移載セクション92、第二移載セクション94、第一入口ポート96及び第二入口ポート98を有する。
【0025】
第一から第五超臨界処理モジュール74〜78は、第一から第五処理ポート84〜88それぞれを介して移送モジュール72へ連結されている。好ましくは、ロボット80は、移送モジュール72の中心において移送モジュール72へ連結されている。第一移載部署92及び第二移載部署94は、第一入口ポート96及び第二入口ポート98それぞれを介して移送モジュールへ連結されている。制御電子機器82は移送モジュール72へ連結されている。
【0026】
好ましくは、移送モジュール72は大気圧で操作する。あるいは、移送モジュール72は、周囲の環境に関してわずかに正の圧力で操作する。このわずかに正の圧力は不活性ガス注入装置によって生成される。不活性ガス注入装置は、アルゴン、二酸化炭素又は窒素などの不活性ガスを移送モジュール72内に注入する。これにより、移送モジュール72内のより清潔な処理環境が保証される。
【0027】
ロボット80は、好ましくは、ロボット基部100と、ロボットアーム102と、エンドエフェクタ104とを有する。ロボットアーム102は、好ましくは、二片のロボットアームであり、ロボットアーム102は、エンドエフェクタ104をロボット基部100と連結する。エンドエフェクタ104は、ワークピースを拾い上げて配置するように構成されている。好ましくは、エンドエフェクタ104は、ウェハーを拾い上げて配置するように構成されている。あるいは、エンドエフェクタ104は、パック又は他の基板を拾い上げて配置するように構成されている。あるいは、二アームロボットはロボット80を再配置する。二アームロボットは、2つのアームと2つのエンドエフェクタを有する。
【0028】
第一から第五超臨界処理モジュール74〜78それぞれは、第一から第五ゲート弁106〜110それぞれを有している。第一から第五ゲート弁106〜110は、第一から第五ゲート弁106〜110の第一から第五超臨界処理モジュール74〜78それぞれを第一から第五処理ポート84〜88に連結する。
【0029】
好ましくは、操作時において、ロボット80は、第一ワークピース118を第一移載部署92から、超臨界除去処理40が実施される第一超臨界処理モジュール74へ移送する。次に、ロボット80は、第二ワークピース120を第一移載部署92から、超臨界除去処理40が実施される、第二超臨界処理モジュール75へ移送する。さらに、ロボット80は、第三から第五のワークピース(図示せず)を第一移載部署92から、超臨界除去処理40が実施される第三から第五超臨界処理モジュール76〜78それぞれへ移送する。
【0030】
次の操作では、ロボット80は、第一ワークピース120を第一超臨界処理モジュール74から第二移載部署94へ移送する。さらに、ロボット80は、第二ワークピースを第二超臨界処理モジュール75から第二移載部署94へ移送する。さらに、ロボット80は、第三から第五ワークピースを第三から第五超臨界処理モジュールそれぞれから第二移載部署94へ移送する。
【0031】
好ましくは、第一ワークピース118、第二ワークピース及び第三から第五ワークピースはウェハーである。好ましくは、ウェハーは、超臨界処理において第一移載部署92において第一カセット内にある。好ましくは、超臨界処理の後、ウェハーはロボット80によって第二カセット内に配置される。あるいは、ウェハーは、第一移載部署92における第一カセット内で開始及び終了し、第二の群のウェハーは第二移載部署94における第二カセット内で開始及び終了する。
【0032】
第二の移載部署94を削減しうる、又は、さらなる移載部署を好適な超臨界処理システム70に追加しうるということが当業者には容易に明らかになる。さらに、好適な超臨界処理システム70は、第一から第五超臨界処理モジュール74〜78よりも少なく構成しうる、又は第一から第五超臨界処理モジュール74〜78よりも多く構成しうるということが当業者には容易に明らかになる。さらに、ロボット80は、第一ワークピース118、第二ワークピース120及び第三〜第五ワークピースを移送する移送機構と交換しうるということが当業者には容易に明らかになる。さらに、第一カセット及び第二カセットは、標準的な機械的なインターフェイスの概念を採用した、前開口と一体となったさやとすることができ、ウェハーは、周囲の環境と分離した清潔な環境で保たれうるということが当業者に容易に明らかになる。
【0033】
本発明の第一の超臨界処理モジュール74が図5に示されている。第一の超臨界処理モジュール74は、二酸化炭素供給容器132と、二酸化炭素ポンプ134と、圧力室136と、化学薬品供給容器138と、循環ポンプ140と、排気ガス収集容器144とを有する。二酸化炭素供給容器132は、二酸化炭素ポンプ134及び二酸化炭素パイプ146を介して圧力室136に連結されている。二酸化炭素パイプ146は、二酸化炭素ポンプ134と圧力室136の間に二酸化炭素ヒータ148を有する。圧力室136は圧力室ヒータ150を有する。循環ポンプ140は循環ライン152に配置されており、循環ライン152は循環入口154及び循環出口156において圧力室136に連結している。化学薬品供給容器138は化学薬品供給ライン158を介して循環ライン152へ連結されている。化学薬品供給ライン158は第一インジェクションポンプ159を有する。リンス薬品供給容器160は、リンス供給ライン162を介して循環ライン152に連結されている。リンス供給ライン162は第二インジェクションポンプ163を有する。排気ガス収集容器144は排気ガスパイプ164を介して圧力室136に連結されている。
【0034】
二酸化炭素供給容器132、二酸化炭素ポンプ134及び二酸化炭素ヒータ148は、二酸化炭素供給装置149を形成している。化学薬品供給容器138、第一インジェクションポンプ159、リンス薬品供給容器160及び第二インジェクションポンプ163は、化学薬品及びリンス薬品供給装置165を形成する。好ましくは、二酸化炭素供給装置149、化学薬品及びリンス薬品供給装置165及び排気ガス収集容器144は、第一超臨界処理モジュール74と同様に、第二から第五超臨界処理モジュール75〜78(図3)として役立つ。換言すると、第一超臨界処理モジュール74は、二酸化炭素供給装置149と、化学薬品及びリンス薬品供給装置165と、排気ガス収集容器144とを有し、その一方で、第二から第五超臨界処理モジュール75〜78は、第一超臨界処理モジュール74の二酸化炭素供給装置149と、化学薬品及びリンス薬品供給装置165と、排気ガス収集容器144とを分け合っている。
【0035】
一つ以上のさらなる二酸化炭素供給装置、一つ以上のさらなる化学薬品及びリンス供給装置、又は一つ以上のさらなる排気ガス収集容器が、第二から第五超臨界処理モジュール75〜78に役立つために設けうるということが当業者には容易に明らかである。さらに、第一超臨界処理モジュール74は、弁と、制御電子機器と、フィルタと、超臨界流体処理システムを代表するユティリティの接続部とを有するということが当業者に容易に明らかになる。さらに、さらなる化学薬品供給容器を第一インジェクションポンプ159に連結することができる、又はさらなる化学薬品供給容器及びさらなるインジェクションポンプを循環ライン152に連結することができるということが当業者に容易に明らかになる。
【0036】
図3、4及び5を参照すると、超臨界除去方法40の実施は第一処理段階52で開始する。第一処理段階52において、フォトレジスト又は残留物(又はフォトレジスト及び残余物)を有するウェハーは第一処理ポートを通して挿入されてロボット80によって圧力室136の第一ウェハー用キャビティ112内に配置され、圧力室136はゲート弁106を閉鎖することによってシールされる。第二の処理段階54において、圧力室136は二酸化炭素供給容器132からの二酸化炭素で二酸化炭素ポンプ134によって加圧される。第二段階54中において、二酸化炭素は二酸化炭素ヒータ148によって加熱され、その一方で、圧力室136は圧力室ヒータ150によって加熱され、圧力室136内の二酸化炭素の温度は臨界温度を上回るということを保証する。二酸化炭素の臨界温度は31℃である。好ましくは、圧力室136内の二酸化炭素の温度は45℃〜75℃の範囲内である。あるいは、圧力室136内の二酸化炭素の温度は、31℃〜約100℃の範囲で維持される。
【0037】
最初の超臨界条件において、第一インジェクションポンプ159は、化学薬品供給容器138からの溶媒を循環ライン152を介して圧力室136に送り、その一方で、二酸化炭素ポンプは、第三処理段階56において超臨界二酸化炭素をさらに加圧する。溶媒注入の初めでは、圧力室136の圧力は7.58MPa(1100psi)〜8.27MPa(1200psi)である。一旦、所望量の溶媒が圧力室136内に送り込まれて所望の超臨界条件になると、二酸化炭素ポンプ134により圧力室136を加圧するのを止め、第一インジェクションポンプ159により溶媒を圧力室136内に送り込むのを止め、第四処理段階58において、循環ポンプ140により超臨界二酸化炭素及び溶媒を循環し始める。好ましくは、この時点における圧力は18.62MPa(約2700psi)〜19.32MPa(2800psi)である。超臨界二酸化炭素及び溶媒を循環させることによって、超臨界二酸化炭素により溶媒をウェハーと接触させ続ける。さらに、超臨界二酸化炭素及び溶媒を循環させることによって、流体流れによりフォトレジスト及び残留物をウェハーから除去するのを促進する。
【0038】
好ましくは、ウェハーは、第四処理段階58中において圧力室136内で静止したままで保持されている。あるいは、ウェハーは、第四処理段階58中において圧力室136内で回転させられる。
【0039】
フォトレジスト及び残留物がウェハーから除去された後、第五処理段階60において圧力室136の条件を最初の超臨界条件の近くに戻すために、圧力室136は、超臨界二酸化炭素、溶媒、除去されたフォトレジスト及び除去された残留物を排気ガス収集容器144へ排気することによって部分的に減圧される。好ましくは、圧力室136内の圧力は、圧力を上昇させて再び圧力室136を部分的に排出させることによって、この時点で少なくとも一度循環させられる。第五処理段階60において、圧力室は、好ましくは、臨界温度及び臨界圧力を超えて維持される。二酸化炭素の臨界圧力は7.38MPa(1070psi)である。
【0040】
第六処理段階62において、第二インジェクションポンプ163によりリンス薬品をリンス薬品供給容器160から循環ラインを介して圧力室136内へ送り、その一方で、二酸化炭素ポンプ134により圧力室136を所望の超臨界条件近くまで加圧し、循環ポンプ140によりウェハーをすすぐために超臨界二酸化炭素及びリンス薬品を循環させる。好ましくは、リンス薬品は、ウェハー、アルコール、アセトン及びそれらの混合物からなる群から選択される。とりわけ、リンス薬品はアルコールと水の混合物である。好ましくは、アルコールは、イソプロピルアルコール、エタノール及び他の低分子量のアルコールからなる群から選択される。最も好ましくは、アルコールはエタノールである。
【0041】
好ましくは、ウェハーは第六処理段階62中において圧力室136内で静止したままで保持される。あるいは、ウェハーは第六処理段階62中において圧力室136内で回転させられる。
【0042】
第七処理段階64において、圧力室136を排気ガス収集容器144へ排気することによって圧力室136が減圧され、ゲート弁106が開放され、ウェハーはロボット80によって圧力室136から除去される。
【0043】
本発明の別の超臨界除去処理は、2000年10月25日付出願の米国特許出願第09/697227号(2000年11月1日にPCT/US00/30218号として出願され、2001年5月10日に国際公開第01/33613号として発行された)、1998年5月27日付出願の米国出願特許第09/085391号(2001年10月23日に米国特許第6306564号として発行された)及び1997年5月27日付出願の米国仮特許出願第60/047739号(米国特許第6306564号を優先権として主張する)の特許出願において教唆されており、これら全ての出願を参照することによってその全体が本明細書に組み込まれている。
【0044】
本発明の第一超臨界処理システムが図6に示されている。第一の別の超臨界処理システム170は、第一から第五の前室172〜176及び第一から五の前室ロボット178〜182を好適な超臨界処理システム70に追加する。操作時において、第一から第五の前室172〜176は、ほぼ大気圧からいくぶん高い圧力へ操作する。これにより、第一から第五のウェハー用キャビティ112〜116が高い圧力と超臨界圧力の間で操作し、処理能力を高めることができる。あるいは、第一の別の超臨界処理システム170において、第一から第五の前室のロボット178〜182は、第一から第五の磁気的に連結された機構、又は第一から第五の流体的に駆動される機構、又は第一から第五の空気的に駆動される機構と交換される。
【0045】
本発明の第二の別の超臨界処理システムが図7に示されている。第二の別の超臨界処理システム190において、好適な超臨界処理システムの第一移載部署92及び第二移載部署94を第一ロードロック192及び第二ロードロック194と交換している。操作時において、移送モジュールは、二番目に高い圧力で操作し、処理能力を高める。
【0046】
本発明の第三の別の超臨界処理システムは図8に示されている。第三の別の超臨界処理システム200は、別の移送モジュール202とロボットトラック204とを備えている。
【0047】
本発明の第四の別の超臨界処理システムは図9に示されている。第四の別の超臨界処理システム210において、好適な超臨界処理システム70の第三の超臨界処理モジュール76を第三の移載部署212と交換し、第二移送モジュール214、第二ロボット216及びさらなる超臨界処理モジュール218を追加している。第四の別の超臨界処理システム210において、第三移載部署212は移送モジュール72を第二移送モジュール214に連結する。第二ロボット216は、好ましくは、第二移送モジュール214内にある。さらなる超臨界処理モジュール218が第二移送モジュール214へ連結される。したがって、第四の別の超臨界処理システム210は、好適な超臨界処理システム70よりも多くの超臨界処理モジュールを有することが可能である。
【0048】
本発明の第五の別の超臨界処理システムは好適な超臨界処理システム70の移送モジュール72をなくしている。第五の別の超臨界処理システムにおいて、ロボット80は、移送モジュール72による被覆効果を享受することなく、ワークピースを第一移載部署92及び第二移載部署94と、第一超臨界処理モジュール74から第五の超臨界処理モジュール74〜78の間で移動させるように構成されている。
【0049】
本発明の第六の別の超臨界処理システムは、好適な超臨界処理システム70に検査部署を追加する。第六の別の超臨界処理システムにおいて、第一ワークピース118、第二ワークピース120及び第三ワークピースから第五ワークピースは、第二移載部署94に移送される前に検査部署に移送される。検査部署において、ワークピースの検査により、フォトレジスト及び残留物がワークピースから除去されるということが保証される。好ましくは、検査部署はワークピースを検査するために分光を利用する。
【0050】
本発明の第七の別の超臨界処理システムにおいて、好適な超臨界処理システム70に前端のロボットを追加する。第七の別の超臨界処理システムにおいて、移送モジュール72に通じる入口の外側の前端のロボットと第一及び第二のカセットが、第一移載部署92及び第二移載部署94から離れて配置されている。前端のロボットは、好ましくは、第一カセットから第一移載部署92へウェハーを移動させるように構成され、好ましくは、第二移載部署94から第二カセットへウェハーを移動させるように構成されている。
【0051】
本発明の第八の別の超臨界処理システムは、好適な超臨界処理システム70にウェハー配向機構を追加する。ウェハー配向機構は、フラットインジケータ、ノッチインジケータ又は他のインジケータに応じてウェハーを配向する。あるいは、ウェハーは第二移載部署94において配向される。
【0052】
本発明の第一の超臨界処理モジュールは、圧力室136及びゲート弁106を別の圧力室と交換する。この別の圧力室は、室ハウジングと、流体的に駆動されるウェハー圧盤とを備えている。室ハウジングは、底部が開放している筒状キャビティを備えている。流体的に駆動されるウェハー圧盤は、筒状キャビティの外側の室ハウジングに対してシールするように構成されている。操作時において、ウェハーは、流体的に駆動されるウェハー圧盤上に配置されている。流体的に駆動されるウェハー圧盤は、上方に移動し、室ハウジングとシールする。一旦、ウェハーが処理されると、流体的に駆動されるウェハー圧盤は下げられ、ウェハーは取り去られる。
【0053】
本発明の第二の別の超臨界処理モジュールは、循環ライン152がウェハー用キャビティ112の周縁においてウェハー用キャビティ12に入るための別の入口を配置し、ウェハー用キャビティ112の上部の中心にある別の出口を配置する。別の入口は、好ましくは、ウェハー用キャビティ112によって規定される平面に超臨界二酸化炭素を注入するように構成される。好ましくは、別の入口は、操作時にウェハー用キャビティ112内で渦を生成させるように、別の入口及び別の出口はウェハー用キャビティ11の半径に関して角度が付けられている。
【0054】
添付した請求の範囲によって規定される本発明の精神及び範囲から逸脱することなく、他の種々の修正が好適な実施例にされうるということが当業者に容易に明らかになる。
【図面の簡単な説明】
【図1】 従来技術の半導体のエッチング及び金属被覆処理の工程の流れをブロック図で示している。
【図2】 本発明の半導体のエッチング及び金属被覆処理の工程の流れをブロック図で示している。
【図3】 本発明の超臨界除去処理をブロック図で示している。
【図4】 本発明の好適な超臨界処理装置を示している。
【図5】 本発明の好適な超臨界処理モジュールを示している。
【図6】 本発明の別の第一の超臨界処理モジュールを示している。
【図7】 本発明の別の第二の超臨界処理モジュールを示している。
【図8】 本発明の別の第三の超臨界処理モジュールを示している。
【図9】 本発明の別の第四の超臨界処理モジュールを示している。
Claims (3)
- a)入口を有する移送モジュールと、
b)2つ以上の超臨界処理モジュールの内部にほぼ一定の体積を有するワークピース用キャビティ及び前室を有し、前記ワークピース用キャビティにおいてワークピースを超臨界処理する、前記移送モジュールに連結された2つ以上の超臨界処理モジュールと、
c)前記ワークピース用キャビティに連結された2つ以上の超臨界条件ジェネレータと、
d)ワークピースを前記2つ以上の超臨界処理モジュールの前記ワークピース用キャビティ内に移動させるために、前記2つ以上の超臨界処理モジュールの各々の前記前室の内部に設けられた少なくとも一つのロボット(178〜182)と、
e)ワークピースを前記少なくとも一つのロボット(178〜182)の各々に移動させる主搬送ロボット(102)を備えた、前記移送モジュールの内部の移送機構(80)とを備え、
f)前記主搬送ロボット(102)は、大気圧下で前記ワークピースを前記少なくとも一つのロボット(178〜182)の各々に渡し、かつ前記少なくとも一つのロボット(178〜182)の各々は、前記ワークピースを、前記少なくとも一つのロボット(178〜182)に対応する前記2つ以上の超臨界処理モジュールの前記ワークピース用キャビティ内に移動させ、
前記主搬送ロボット(102)が前記前室内の前記少なくとも一つのロボット(178〜182)の各々に前記ワークピースを受け渡す時に、前記前室内の圧力は、前記移送モジュール内の圧力よりも高く維持される、2つ以上のワークピースを超臨界処理する装置。 - 請求項1に記載のワークピースを超臨界処理する装置を用いて第一及び第二のワークピースを超臨界処理する方法において、
a)前記第一のワークピースを移送モジュールの入口から第一の前室へ移送し、前記第一のワークピースを前記第一の前室から第一の超臨界処理モジュールの前記ワークピース用キャビティへ移送する段階と、
b)前記第二のワークピースを前記移送モジュールの入口から第二の前室へ移送し、前記第二のワークピースを前記第二の前室から第二の超臨界処理モジュールの前記ワークピース用キャビティへ移送する段階と、
c)前記第一及び第二のワークピースを、それぞれ、前記第一及び第二の超臨界処理モジュールの前記ワークピース用キャビティにおいて超臨界処理する段階と、
d)前記第一のワークピースを前記第一の超臨界処理モジュールから前記第一の前室へ移送し、前記第一のワークピースを前記第一の前室から前記移送モジュールの入口へ移送する段階と、
e)前記第二のワークピースを前記第二の超臨界処理モジュールから前記第二の前室へ移送し、前記第二のワークピースを前記第二の前室から前記移送モジュールの入口へ移送する段階とを備えた、第一及び第二のワークピースを超臨界処理する方法。 - 前記第一及び第二のワークピースを、それぞれ、前記第一及び第二の超臨界処理モジュールにおいて超臨界処理する段階は、
(i)第一の洗浄物質を前記第一の超臨界処理モジュールに導入する段階と、
(ii)前記第一の洗浄物質がその超臨界状態に到達するまで前記第一の洗浄物質を加圧する段階と、
(iii)前記第一のワークピース上の第一の残留物が少なくとも部分的に除去されるまで、前記第一の洗浄物質をその実質的な超臨界状態で前記第一のワークピースと接触させ続ける段階と、
(iv)第二の洗浄物質を前記第二の超臨界処理モジュールに導入する段階と、
(v)前記第二の洗浄物質がその超臨界状態に到達するまで前記第二の洗浄物質を加圧する段階と、
(vi)前記第二のワークピース上の第二の残留物が少なくとも部分的に除去されるまで、前記第二の洗浄物質をその実質的な超臨界状態で前記第二のワークピースと接触させ続ける段階とを備えている、請求項2に記載の第一及び第二のワークピースを超臨界処理する方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US16312199P | 1999-11-02 | 1999-11-02 | |
US60/163,121 | 1999-11-02 | ||
PCT/US2000/041787 WO2001033615A2 (en) | 1999-11-02 | 2000-11-01 | Method and apparatus for supercritical processing of multiple workpieces |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2003513466A JP2003513466A (ja) | 2003-04-08 |
JP2003513466A5 JP2003513466A5 (ja) | 2007-12-20 |
JP5073902B2 true JP5073902B2 (ja) | 2012-11-14 |
Family
ID=22588579
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001547635A Expired - Lifetime JP4621400B2 (ja) | 1999-11-02 | 2000-11-01 | 半導体基板処理装置 |
JP2001535218A Expired - Fee Related JP5073902B2 (ja) | 1999-11-02 | 2000-11-01 | 多数のワークピースを超臨界処理する方法及び装置 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001547635A Expired - Lifetime JP4621400B2 (ja) | 1999-11-02 | 2000-11-01 | 半導体基板処理装置 |
Country Status (9)
Country | Link |
---|---|
US (2) | US7060422B2 (ja) |
EP (2) | EP1243021A2 (ja) |
JP (2) | JP4621400B2 (ja) |
KR (2) | KR100742473B1 (ja) |
CN (2) | CN1175470C (ja) |
AU (2) | AU3267201A (ja) |
CA (2) | CA2387341A1 (ja) |
TW (1) | TW484169B (ja) |
WO (2) | WO2001033615A2 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP6992625B2 (ja) | 2018-03-16 | 2022-01-13 | 三菱ケミカル株式会社 | コークス製造用粘結材の製造方法 |
Families Citing this family (56)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6612317B2 (en) | 2000-04-18 | 2003-09-02 | S.C. Fluids, Inc | Supercritical fluid delivery and recovery system for semiconductor wafer processing |
US6497239B2 (en) | 1999-08-05 | 2002-12-24 | S. C. Fluids, Inc. | Inverted pressure vessel with shielded closure mechanism |
US6748960B1 (en) | 1999-11-02 | 2004-06-15 | Tokyo Electron Limited | Apparatus for supercritical processing of multiple workpieces |
CN1216415C (zh) * | 2000-04-25 | 2005-08-24 | 东京毅力科创株式会社 | 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具 |
US6921456B2 (en) * | 2000-07-26 | 2005-07-26 | Tokyo Electron Limited | High pressure processing chamber for semiconductor substrate |
US6562146B1 (en) | 2001-02-15 | 2003-05-13 | Micell Technologies, Inc. | Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide |
WO2002095800A2 (en) * | 2001-05-22 | 2002-11-28 | Reflectivity, Inc. | A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants |
US6958123B2 (en) * | 2001-06-15 | 2005-10-25 | Reflectivity, Inc | Method for removing a sacrificial material with a compressed fluid |
US6706641B2 (en) | 2001-09-13 | 2004-03-16 | Micell Technologies, Inc. | Spray member and method for using the same |
US6619304B2 (en) | 2001-09-13 | 2003-09-16 | Micell Technologies, Inc. | Pressure chamber assembly including non-mechanical drive means |
US6666928B2 (en) | 2001-09-13 | 2003-12-23 | Micell Technologies, Inc. | Methods and apparatus for holding a substrate in a pressure chamber |
US6782900B2 (en) | 2001-09-13 | 2004-08-31 | Micell Technologies, Inc. | Methods and apparatus for cleaning and/or treating a substrate using CO2 |
US6763840B2 (en) | 2001-09-14 | 2004-07-20 | Micell Technologies, Inc. | Method and apparatus for cleaning substrates using liquid carbon dioxide |
US6848458B1 (en) | 2002-02-05 | 2005-02-01 | Novellus Systems, Inc. | Apparatus and methods for processing semiconductor substrates using supercritical fluids |
FR2838422A1 (fr) * | 2002-04-11 | 2003-10-17 | Memscap | Procede de fabrication de composants microelectromecaniques |
CN100423179C (zh) * | 2002-06-21 | 2008-10-01 | 应用材料股份有限公司 | 用于真空处理系统的传送处理室 |
US6722642B1 (en) * | 2002-11-06 | 2004-04-20 | Tokyo Electron Limited | High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism |
US6880560B2 (en) | 2002-11-18 | 2005-04-19 | Techsonic | Substrate processing apparatus for processing substrates using dense phase gas and sonic waves |
JP3861798B2 (ja) * | 2002-11-19 | 2006-12-20 | 株式会社日立ハイテクサイエンスシステムズ | レジスト現像処理装置とその方法 |
JP2004228526A (ja) * | 2003-01-27 | 2004-08-12 | Tokyo Electron Ltd | 基板処理方法および半導体装置の製造方法 |
US20040194886A1 (en) * | 2003-04-01 | 2004-10-07 | Deyoung James | Microelectronic device manufacturing in coordinated carbon dioxide processing chambers |
US7226512B2 (en) * | 2003-06-18 | 2007-06-05 | Ekc Technology, Inc. | Load lock system for supercritical fluid cleaning |
KR100505693B1 (ko) * | 2003-06-26 | 2005-08-03 | 삼성전자주식회사 | 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법 |
DE102004029077B4 (de) * | 2003-06-26 | 2010-07-22 | Samsung Electronics Co., Ltd., Suwon | Vorrichtung und Verfahren zur Entfernung eines Photoresists von einem Substrat |
US7323064B2 (en) * | 2003-08-06 | 2008-01-29 | Micron Technology, Inc. | Supercritical fluid technology for cleaning processing chambers and systems |
US20050181310A1 (en) * | 2004-02-17 | 2005-08-18 | Shun-Fa Yang | Method for etching metal surface of golf club head |
US7784164B2 (en) * | 2004-06-02 | 2010-08-31 | Applied Materials, Inc. | Electronic device manufacturing chamber method |
US20060201074A1 (en) * | 2004-06-02 | 2006-09-14 | Shinichi Kurita | Electronic device manufacturing chamber and methods of forming the same |
CN101866828B (zh) * | 2004-06-02 | 2013-03-20 | 应用材料公司 | 电子装置制造室及其形成方法 |
US7250374B2 (en) * | 2004-06-30 | 2007-07-31 | Tokyo Electron Limited | System and method for processing a substrate using supercritical carbon dioxide processing |
US20060070640A1 (en) * | 2004-10-01 | 2006-04-06 | Darko Babic | Method and system for injecting chemistry into a supercritical fluid |
US7767145B2 (en) | 2005-03-28 | 2010-08-03 | Toyko Electron Limited | High pressure fourier transform infrared cell |
US7789971B2 (en) | 2005-05-13 | 2010-09-07 | Tokyo Electron Limited | Treatment of substrate using functionalizing agent in supercritical carbon dioxide |
US20070012337A1 (en) * | 2005-07-15 | 2007-01-18 | Tokyo Electron Limited | In-line metrology for supercritical fluid processing |
US8322299B2 (en) * | 2006-05-17 | 2012-12-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cluster processing apparatus for metallization processing in semiconductor manufacturing |
KR100829923B1 (ko) * | 2006-08-30 | 2008-05-16 | 세메스 주식회사 | 스핀헤드 및 이를 이용하는 기판처리방법 |
US20100108636A1 (en) * | 2008-10-30 | 2010-05-06 | Seagate Technology Llc | Integrated Tool for Fabricating an Electronic Component |
US8596648B2 (en) * | 2010-10-22 | 2013-12-03 | Oshkosh Corporation | Pump for vehicle suspension system |
US20120266810A1 (en) * | 2011-04-20 | 2012-10-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Planarization system for high wafer topography |
US8592328B2 (en) * | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
AU2017248349B2 (en) | 2016-04-08 | 2021-11-11 | Oshkosh Corporation | Leveling system for lift device |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US9865455B1 (en) | 2016-09-07 | 2018-01-09 | Lam Research Corporation | Nitride film formed by plasma-enhanced and thermal atomic layer deposition process |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
US10179941B1 (en) * | 2017-07-14 | 2019-01-15 | Applied Materials, Inc. | Gas delivery system for high pressure processing chamber |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
KR102037918B1 (ko) * | 2017-11-28 | 2019-10-29 | 세메스 주식회사 | 기판 처리 방법 및 기판 처리 장치 |
KR20200118504A (ko) | 2018-03-02 | 2020-10-15 | 램 리써치 코포레이션 | 가수분해를 사용한 선택적인 증착 |
KR102225957B1 (ko) | 2018-09-12 | 2021-03-11 | 세메스 주식회사 | 기판 처리 장치 |
KR102636979B1 (ko) * | 2019-04-26 | 2024-02-14 | 삼성전자주식회사 | 멀티 챔버 장치 |
KR20230092188A (ko) | 2021-12-17 | 2023-06-26 | 삼성전자주식회사 | 기판 처리 장치 및 방법 |
Family Cites Families (205)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2625886A (en) * | 1947-08-21 | 1953-01-20 | American Brake Shoe Co | Pump |
US2617719A (en) | 1950-12-29 | 1952-11-11 | Stanolind Oil & Gas Co | Cleaning porous media |
US2873597A (en) * | 1955-08-08 | 1959-02-17 | Victor T Fahringer | Apparatus for sealing a pressure vessel |
US3521765A (en) * | 1967-10-31 | 1970-07-28 | Western Electric Co | Closed-end machine for processing articles in a controlled atmosphere |
US3623627A (en) * | 1969-08-22 | 1971-11-30 | Hunt Co Rodney | Door construction for a pressure vessel |
US3689025A (en) | 1970-07-30 | 1972-09-05 | Elmer P Kiser | Air loaded valve |
US3744660A (en) * | 1970-12-30 | 1973-07-10 | Combustion Eng | Shield for nuclear reactor vessel |
FR2128426B1 (ja) | 1971-03-02 | 1980-03-07 | Cnen | |
US3890176A (en) | 1972-08-18 | 1975-06-17 | Gen Electric | Method for removing photoresist from substrate |
US3968885A (en) * | 1973-06-29 | 1976-07-13 | International Business Machines Corporation | Method and apparatus for handling workpieces |
US4341592A (en) | 1975-08-04 | 1982-07-27 | Texas Instruments Incorporated | Method for removing photoresist layer from substrate by ozone treatment |
US4029517A (en) | 1976-03-01 | 1977-06-14 | Autosonics Inc. | Vapor degreasing system having a divider wall between upper and lower vapor zone portions |
US4091643A (en) | 1976-05-14 | 1978-05-30 | Ama Universal S.P.A. | Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines |
GB1594935A (en) | 1976-11-01 | 1981-08-05 | Gen Descaling Co Ltd | Closure for pipe or pressure vessel and seal therefor |
JPS5448172A (en) * | 1977-09-24 | 1979-04-16 | Tokyo Ouka Kougiyou Kk | Plasma reaction processor |
US4219333A (en) | 1978-07-03 | 1980-08-26 | Harris Robert D | Carbonated cleaning solution |
US4367140A (en) * | 1979-11-05 | 1983-01-04 | Sykes Ocean Water Ltd. | Reverse osmosis liquid purification apparatus |
US4355937A (en) * | 1980-12-24 | 1982-10-26 | International Business Machines Corporation | Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus |
DE3112434A1 (de) * | 1981-03-28 | 1982-10-07 | Depa GmbH, 4000 Düsseldorf | Druckluftgetriebene doppelmembran-pumpe |
US4682937A (en) * | 1981-11-12 | 1987-07-28 | The Coca-Cola Company | Double-acting diaphragm pump and reversing mechanism therefor |
DE3145815C2 (de) | 1981-11-19 | 1984-08-09 | AGA Gas GmbH, 2102 Hamburg | Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen, |
US4522788A (en) * | 1982-03-05 | 1985-06-11 | Leco Corporation | Proximate analyzer |
US4426358A (en) * | 1982-04-28 | 1984-01-17 | Johansson Arne I | Fail-safe device for a lid of a pressure vessel |
DE3238768A1 (de) * | 1982-10-20 | 1984-04-26 | Kurt Wolf & Co Kg, 7547 Wildbad | Kochgefaess aus kochtopf und deckel, insbesondere dampfdruckkochtopf |
FR2536433A1 (fr) | 1982-11-19 | 1984-05-25 | Privat Michel | Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives |
US4626509A (en) | 1983-07-11 | 1986-12-02 | Data Packaging Corp. | Culture media transfer assembly |
US4865061A (en) | 1983-07-22 | 1989-09-12 | Quadrex Hps, Inc. | Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment |
US4549467A (en) * | 1983-08-03 | 1985-10-29 | Wilden Pump & Engineering Co. | Actuator valve |
US4475993A (en) | 1983-08-15 | 1984-10-09 | The United States Of America As Represented By The United States Department Of Energy | Extraction of trace metals from fly ash |
GB8332394D0 (en) | 1983-12-05 | 1984-01-11 | Pilkington Brothers Plc | Coating apparatus |
US4877530A (en) | 1984-04-25 | 1989-10-31 | Cf Systems Corporation | Liquid CO2 /cosolvent extraction |
US4693777A (en) | 1984-11-30 | 1987-09-15 | Kabushiki Kaisha Toshiba | Apparatus for producing semiconductor devices |
US4960140A (en) | 1984-11-30 | 1990-10-02 | Ishijima Industrial Co., Ltd. | Washing arrangement for and method of washing lead frames |
US4788043A (en) | 1985-04-17 | 1988-11-29 | Tokuyama Soda Kabushiki Kaisha | Process for washing semiconductor substrate with organic solvent |
US4778356A (en) * | 1985-06-11 | 1988-10-18 | Hicks Cecil T | Diaphragm pump |
US4749440A (en) | 1985-08-28 | 1988-06-07 | Fsi Corporation | Gaseous process and apparatus for removing films from substrates |
US5044871A (en) * | 1985-10-24 | 1991-09-03 | Texas Instruments Incorporated | Integrated circuit processing system |
US4827867A (en) * | 1985-11-28 | 1989-05-09 | Daikin Industries, Ltd. | Resist developing apparatus |
US4917556A (en) | 1986-04-28 | 1990-04-17 | Varian Associates, Inc. | Modular wafer transport and processing system |
US4670126A (en) | 1986-04-28 | 1987-06-02 | Varian Associates, Inc. | Sputter module for modular wafer processing system |
US5882165A (en) * | 1986-12-19 | 1999-03-16 | Applied Materials, Inc. | Multiple chamber integrated process system |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
JPS63157870A (ja) | 1986-12-19 | 1988-06-30 | Anelva Corp | 基板処理装置 |
EP0290098B1 (de) | 1987-05-07 | 1990-11-14 | Micafil Ag | Verfahren und Vorrichtung zum Extrahieren von Oel oder polychloriertem Biphenyl aus imprägnierten elektrischen Teilen mittels eines Lösungsmittels sowie Destillation des Lösungsmittels |
US4924892A (en) | 1987-07-28 | 1990-05-15 | Mazda Motor Corporation | Painting truck washing system |
DE3725565A1 (de) | 1987-08-01 | 1989-02-16 | Peter Weil | Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel |
US5105556A (en) | 1987-08-12 | 1992-04-21 | Hitachi, Ltd. | Vapor washing process and apparatus |
US4838476A (en) | 1987-11-12 | 1989-06-13 | Fluocon Technologies Inc. | Vapour phase treatment process and apparatus |
CA1337750C (en) | 1987-11-24 | 1995-12-19 | John L. Fulton | Supercritical fluid reverse micelle separation |
US4933404A (en) | 1987-11-27 | 1990-06-12 | Battelle Memorial Institute | Processes for microemulsion polymerization employing novel microemulsion systems |
US5266205A (en) | 1988-02-04 | 1993-11-30 | Battelle Memorial Institute | Supercritical fluid reverse micelle separation |
US4789077A (en) | 1988-02-24 | 1988-12-06 | Public Service Electric & Gas Company | Closure apparatus for a high pressure vessel |
JP2663483B2 (ja) * | 1988-02-29 | 1997-10-15 | 勝 西川 | レジストパターン形成方法 |
US4823976A (en) * | 1988-05-04 | 1989-04-25 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Quick actuating closure |
US5224504A (en) * | 1988-05-25 | 1993-07-06 | Semitool, Inc. | Single wafer processor |
US5185296A (en) | 1988-07-26 | 1993-02-09 | Matsushita Electric Industrial Co., Ltd. | Method for forming a dielectric thin film or its pattern of high accuracy on a substrate |
US5013366A (en) | 1988-12-07 | 1991-05-07 | Hughes Aircraft Company | Cleaning process using phase shifting of dense phase gases |
US5051135A (en) | 1989-01-30 | 1991-09-24 | Kabushiki Kaisha Tiyoda Seisakusho | Cleaning method using a solvent while preventing discharge of solvent vapors to the environment |
JPH02209729A (ja) * | 1989-02-09 | 1990-08-21 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法及び異物除去装置 |
WO1990009233A1 (en) | 1989-02-16 | 1990-08-23 | Pawliszyn Janusz B | Apparatus and method for delivering supercritical fluid |
US4879431A (en) * | 1989-03-09 | 1989-11-07 | Biomedical Research And Development Laboratories, Inc. | Tubeless cell harvester |
US5213485A (en) * | 1989-03-10 | 1993-05-25 | Wilden James K | Air driven double diaphragm pump |
US5169296A (en) | 1989-03-10 | 1992-12-08 | Wilden James K | Air driven double diaphragm pump |
US5068040A (en) | 1989-04-03 | 1991-11-26 | Hughes Aircraft Company | Dense phase gas photochemical process for substrate treatment |
US5288333A (en) | 1989-05-06 | 1994-02-22 | Dainippon Screen Mfg. Co., Ltd. | Wafer cleaning method and apparatus therefore |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US4923828A (en) | 1989-07-07 | 1990-05-08 | Eastman Kodak Company | Gaseous cleaning method for silicon devices |
US5062770A (en) * | 1989-08-11 | 1991-11-05 | Systems Chemistry, Inc. | Fluid pumping apparatus and system with leak detection and containment |
US4983223A (en) | 1989-10-24 | 1991-01-08 | Chenpatents | Apparatus and method for reducing solvent vapor losses |
US5226441A (en) * | 1989-11-13 | 1993-07-13 | Cmb Industries | Backflow preventor with adjustable outflow direction |
US5213619A (en) | 1989-11-30 | 1993-05-25 | Jackson David P | Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids |
US5169408A (en) | 1990-01-26 | 1992-12-08 | Fsi International, Inc. | Apparatus for wafer processing with in situ rinse |
WO1991012629A1 (en) | 1990-02-16 | 1991-08-22 | Edward Bok | Improved installation for wafer transfer and processing |
US5186594A (en) | 1990-04-19 | 1993-02-16 | Applied Materials, Inc. | Dual cassette load lock |
US5217043A (en) * | 1990-04-19 | 1993-06-08 | Milic Novakovic | Control valve |
DE69133413D1 (de) | 1990-05-07 | 2004-10-21 | Canon Kk | Substratträger des Vakuumtyps |
US5370741A (en) | 1990-05-15 | 1994-12-06 | Semitool, Inc. | Dynamic semiconductor wafer processing using homogeneous chemical vapors |
DE4018464A1 (de) * | 1990-06-08 | 1991-12-12 | Ott Kg Lewa | Membran fuer eine hydraulisch angetriebene membranpumpe |
US5071485A (en) | 1990-09-11 | 1991-12-10 | Fusion Systems Corporation | Method for photoresist stripping using reverse flow |
US5236669A (en) * | 1990-09-12 | 1993-08-17 | E. I. Du Pont De Nemours And Company | Pressure vessel |
US5167716A (en) | 1990-09-28 | 1992-12-01 | Gasonics, Inc. | Method and apparatus for batch processing a semiconductor wafer |
DE4106180A1 (de) * | 1990-10-08 | 1992-04-09 | Dirk Dipl Ing Budde | Doppel-membranpumpe |
US5143103A (en) | 1991-01-04 | 1992-09-01 | International Business Machines Corporation | Apparatus for cleaning and drying workpieces |
EP0496605B1 (en) | 1991-01-24 | 2001-08-01 | Wako Pure Chemical Industries Ltd | Surface treating solutions for semiconductors |
US5185058A (en) | 1991-01-29 | 1993-02-09 | Micron Technology, Inc. | Process for etching semiconductor devices |
US5201960A (en) | 1991-02-04 | 1993-04-13 | Applied Photonics Research, Inc. | Method for removing photoresist and other adherent materials from substrates |
CH684402A5 (de) * | 1991-03-04 | 1994-09-15 | Xorella Ag Wettingen | Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses. |
EP0514337B1 (de) | 1991-05-17 | 1995-11-22 | Ciba-Geigy Ag | Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2 |
US5195878A (en) * | 1991-05-20 | 1993-03-23 | Hytec Flow Systems | Air-operated high-temperature corrosive liquid pump |
US5274129A (en) | 1991-06-12 | 1993-12-28 | Idaho Research Foundation, Inc. | Hydroxamic acid crown ethers |
US5225173A (en) | 1991-06-12 | 1993-07-06 | Idaho Research Foundation, Inc. | Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors |
US5243821A (en) * | 1991-06-24 | 1993-09-14 | Air Products And Chemicals, Inc. | Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates |
US5174917A (en) | 1991-07-19 | 1992-12-29 | Monsanto Company | Compositions containing n-ethyl hydroxamic acid chelants |
US5251776A (en) * | 1991-08-12 | 1993-10-12 | H. William Morgan, Jr. | Pressure vessel |
JP3040212B2 (ja) * | 1991-09-05 | 2000-05-15 | 株式会社東芝 | 気相成長装置 |
GB2259525B (en) | 1991-09-11 | 1995-06-28 | Ciba Geigy Ag | Process for dyeing cellulosic textile material with disperse dyes |
DE9112761U1 (de) * | 1991-10-14 | 1992-04-09 | Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling | Gefäßverschließmaschine |
US5221019A (en) * | 1991-11-07 | 1993-06-22 | Hahn & Clay | Remotely operable vessel cover positioner |
EP0543779A1 (de) | 1991-11-20 | 1993-05-26 | Ciba-Geigy Ag | Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2 |
US5190373A (en) * | 1991-12-24 | 1993-03-02 | Union Carbide Chemicals & Plastics Technology Corporation | Method, apparatus, and article for forming a heated, pressurized mixture of fluids |
US5240390A (en) * | 1992-03-27 | 1993-08-31 | Graco Inc. | Air valve actuator for reciprocable machine |
US5404894A (en) * | 1992-05-20 | 1995-04-11 | Tokyo Electron Kabushiki Kaisha | Conveyor apparatus |
US5313965A (en) * | 1992-06-01 | 1994-05-24 | Hughes Aircraft Company | Continuous operation supercritical fluid treatment process and system |
JPH0613361A (ja) * | 1992-06-26 | 1994-01-21 | Tokyo Electron Ltd | 処理装置 |
US5401322A (en) * | 1992-06-30 | 1995-03-28 | Southwest Research Institute | Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids |
US5267455A (en) | 1992-07-13 | 1993-12-07 | The Clorox Company | Liquid/supercritical carbon dioxide dry cleaning system |
US5285352A (en) * | 1992-07-15 | 1994-02-08 | Motorola, Inc. | Pad array semiconductor device with thermal conductor and process for making the same |
US5368171A (en) | 1992-07-20 | 1994-11-29 | Jackson; David P. | Dense fluid microwave centrifuge |
US5746008A (en) * | 1992-07-29 | 1998-05-05 | Shinko Electric Co., Ltd. | Electronic substrate processing system using portable closed containers |
KR100304127B1 (ko) * | 1992-07-29 | 2001-11-30 | 이노마다 시게오 | 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치 |
US5339844A (en) | 1992-08-10 | 1994-08-23 | Hughes Aircraft Company | Low cost equipment for cleaning using liquefiable gases |
US5261965A (en) | 1992-08-28 | 1993-11-16 | Texas Instruments Incorporated | Semiconductor wafer cleaning using condensed-phase processing |
US5589224A (en) | 1992-09-30 | 1996-12-31 | Applied Materials, Inc. | Apparatus for full wafer deposition |
US5355901A (en) | 1992-10-27 | 1994-10-18 | Autoclave Engineers, Ltd. | Apparatus for supercritical cleaning |
US5337446A (en) | 1992-10-27 | 1994-08-16 | Autoclave Engineers, Inc. | Apparatus for applying ultrasonic energy in precision cleaning |
US5294261A (en) | 1992-11-02 | 1994-03-15 | Air Products And Chemicals, Inc. | Surface cleaning using an argon or nitrogen aerosol |
US5328722A (en) * | 1992-11-06 | 1994-07-12 | Applied Materials, Inc. | Metal chemical vapor deposition process using a shadow ring |
JP2548062B2 (ja) * | 1992-11-13 | 1996-10-30 | 日本エー・エス・エム株式会社 | 縦型熱処理装置用ロードロックチャンバー |
KR100251873B1 (ko) * | 1993-01-21 | 2000-04-15 | 마쓰바 구니유키 | 종형 열처리 장치 |
US5474410A (en) | 1993-03-14 | 1995-12-12 | Tel-Varian Limited | Multi-chamber system provided with carrier units |
US5433334A (en) * | 1993-09-08 | 1995-07-18 | Reneau; Raymond P. | Closure member for pressure vessel |
US5377705A (en) * | 1993-09-16 | 1995-01-03 | Autoclave Engineers, Inc. | Precision cleaning system |
US5656097A (en) | 1993-10-20 | 1997-08-12 | Verteq, Inc. | Semiconductor wafer cleaning system |
US5417768A (en) * | 1993-12-14 | 1995-05-23 | Autoclave Engineers, Inc. | Method of cleaning workpiece with solvent and then with liquid carbon dioxide |
US5509431A (en) * | 1993-12-14 | 1996-04-23 | Snap-Tite, Inc. | Precision cleaning vessel |
JPH0846013A (ja) * | 1994-05-23 | 1996-02-16 | Tokyo Electron Ltd | マルチチャンバ処理システム用搬送装置 |
JP3486462B2 (ja) * | 1994-06-07 | 2004-01-13 | 東京エレクトロン株式会社 | 減圧・常圧処理装置 |
US5934856A (en) * | 1994-05-23 | 1999-08-10 | Tokyo Electron Limited | Multi-chamber treatment system |
EP0791093B1 (en) * | 1994-11-09 | 2001-04-11 | R.R. STREET & CO., INC. | Method and system for rejuvenating pressurized fluid solvents used in cleaning substrates |
US5505219A (en) * | 1994-11-23 | 1996-04-09 | Litton Systems, Inc. | Supercritical fluid recirculating system for a precision inertial instrument parts cleaner |
DE4443778A1 (de) * | 1994-12-08 | 1996-06-20 | Abel Gmbh & Co | Doppelmembranpumpe |
US5556497A (en) * | 1995-01-09 | 1996-09-17 | Essef Corporation | Fitting installation process |
US5629918A (en) * | 1995-01-20 | 1997-05-13 | The Regents Of The University Of California | Electromagnetically actuated micromachined flap |
JP3457758B2 (ja) * | 1995-02-07 | 2003-10-20 | シャープ株式会社 | 超臨界流体を利用した洗浄装置 |
JP3644036B2 (ja) * | 1995-02-15 | 2005-04-27 | 株式会社日立製作所 | 半導体装置の製造方法および半導体製造装置 |
US5644855A (en) * | 1995-04-06 | 1997-07-08 | Air Products And Chemicals, Inc. | Cryogenically purged mini environment |
JPH08306632A (ja) * | 1995-04-27 | 1996-11-22 | Shin Etsu Handotai Co Ltd | 気相エピタキシャル成長装置 |
US6097015A (en) * | 1995-05-22 | 2000-08-01 | Healthbridge, Inc. | Microwave pressure vessel and method of sterilization |
JP3983831B2 (ja) * | 1995-05-30 | 2007-09-26 | シグマメルテック株式会社 | 基板ベーキング装置及び基板ベーキング方法 |
JPH08330266A (ja) * | 1995-05-31 | 1996-12-13 | Texas Instr Inc <Ti> | 半導体装置等の表面を浄化し、処理する方法 |
US6454945B1 (en) * | 1995-06-16 | 2002-09-24 | University Of Washington | Microfabricated devices and methods |
JP2676334B2 (ja) * | 1995-07-31 | 1997-11-12 | 住友重機械工業株式会社 | ロボットアーム |
US6239038B1 (en) * | 1995-10-13 | 2001-05-29 | Ziying Wen | Method for chemical processing semiconductor wafers |
US5783082A (en) * | 1995-11-03 | 1998-07-21 | University Of North Carolina | Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants |
US6037277A (en) * | 1995-11-16 | 2000-03-14 | Texas Instruments Incorporated | Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates |
KR19990077350A (ko) * | 1996-02-29 | 1999-10-25 | 히가시 데쓰로 | 반도체웨이퍼의 열처리용 보트 |
JP3955340B2 (ja) * | 1996-04-26 | 2007-08-08 | 株式会社神戸製鋼所 | 高温高圧ガス処理装置 |
DK9600149U3 (da) * | 1996-05-01 | 1997-09-12 | Moerch & Soenner A S | Dækselaggregat |
US6203582B1 (en) * | 1996-07-15 | 2001-03-20 | Semitool, Inc. | Modular semiconductor workpiece processing tool |
US5706319A (en) * | 1996-08-12 | 1998-01-06 | Joseph Oat Corporation | Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal |
JP3176294B2 (ja) * | 1996-08-26 | 2001-06-11 | 日本電気株式会社 | 半導体ウェーハ用キャリア |
US5881577A (en) * | 1996-09-09 | 1999-03-16 | Air Liquide America Corporation | Pressure-swing absorption based cleaning methods and systems |
US5928389A (en) * | 1996-10-21 | 1999-07-27 | Applied Materials, Inc. | Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool |
US5888050A (en) * | 1996-10-30 | 1999-03-30 | Supercritical Fluid Technologies, Inc. | Precision high pressure control assembly |
JPH10144757A (ja) * | 1996-11-08 | 1998-05-29 | Dainippon Screen Mfg Co Ltd | 基板処理システム |
US5906866A (en) * | 1997-02-10 | 1999-05-25 | Tokyo Electron Limited | Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface |
JP3437734B2 (ja) * | 1997-02-26 | 2003-08-18 | 富士通株式会社 | 製造装置 |
US5879459A (en) * | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
JPH10261687A (ja) * | 1997-03-18 | 1998-09-29 | Furontetsuku:Kk | 半導体等製造装置 |
JP4246804B2 (ja) * | 1997-03-26 | 2009-04-02 | 株式会社神戸製鋼所 | 加熱・加圧処理装置 |
JPH10288158A (ja) * | 1997-04-10 | 1998-10-27 | Kobe Steel Ltd | ピストン式ガス圧縮機及びガス圧縮設備 |
US6306564B1 (en) * | 1997-05-27 | 2001-10-23 | Tokyo Electron Limited | Removal of resist or residue from semiconductors using supercritical carbon dioxide |
TW524873B (en) * | 1997-07-11 | 2003-03-21 | Applied Materials Inc | Improved substrate supporting apparatus and processing chamber |
US5975492A (en) * | 1997-07-14 | 1999-11-02 | Brenes; Arthur | Bellows driver slot valve |
JP3194036B2 (ja) * | 1997-09-17 | 2001-07-30 | 東京エレクトロン株式会社 | 乾燥処理装置及び乾燥処理方法 |
US6056008A (en) * | 1997-09-22 | 2000-05-02 | Fisher Controls International, Inc. | Intelligent pressure regulator |
US6284360B1 (en) * | 1997-09-30 | 2001-09-04 | 3M Innovative Properties Company | Sealant composition, article including same, and method of using same |
US6235634B1 (en) * | 1997-10-08 | 2001-05-22 | Applied Komatsu Technology, Inc. | Modular substrate processing system |
US5904737A (en) * | 1997-11-26 | 1999-05-18 | Mve, Inc. | Carbon dioxide dry cleaning system |
JPH11200035A (ja) * | 1998-01-19 | 1999-07-27 | Anelva Corp | スパッタ化学蒸着複合装置 |
US6048494A (en) * | 1998-01-30 | 2000-04-11 | Vlsi Technology, Inc. | Autoclave with improved heating and access |
US5934991A (en) * | 1998-02-01 | 1999-08-10 | Fortrend Engineering Corporation | Pod loader interface improved clean air system |
US6067728A (en) * | 1998-02-13 | 2000-05-30 | G.T. Equipment Technologies, Inc. | Supercritical phase wafer drying/cleaning system |
US6122566A (en) * | 1998-03-03 | 2000-09-19 | Applied Materials Inc. | Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system |
US6244121B1 (en) * | 1998-03-06 | 2001-06-12 | Applied Materials, Inc. | Sensor device for non-intrusive diagnosis of a semiconductor processing system |
US6453924B1 (en) * | 2000-07-24 | 2002-09-24 | Advanced Technology Materials, Inc. | Fluid distribution system and process, and semiconductor fabrication facility utilizing same |
SG81975A1 (en) * | 1998-04-14 | 2001-07-24 | Kaijo Kk | Method and apparatus for drying washed objects |
US6017820A (en) * | 1998-07-17 | 2000-01-25 | Cutek Research, Inc. | Integrated vacuum and plating cluster system |
US6085935A (en) * | 1998-08-10 | 2000-07-11 | Alliance Laundry Systems Llc | Pressure vessel door operating apparatus |
US6277753B1 (en) * | 1998-09-28 | 2001-08-21 | Supercritical Systems Inc. | Removal of CMP residue from semiconductors using supercritical carbon dioxide process |
JP2000106358A (ja) * | 1998-09-29 | 2000-04-11 | Mitsubishi Electric Corp | 半導体製造装置および半導体基板の処理方法 |
US6110232A (en) * | 1998-10-01 | 2000-08-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for preventing corrosion in load-lock chambers |
US6344174B1 (en) * | 1999-01-25 | 2002-02-05 | Mine Safety Appliances Company | Gas sensor |
EP1024524A2 (en) * | 1999-01-27 | 2000-08-02 | Matsushita Electric Industrial Co., Ltd. | Deposition of dielectric layers using supercritical CO2 |
US6305677B1 (en) * | 1999-03-30 | 2001-10-23 | Lam Research Corporation | Perimeter wafer lifting |
US6241825B1 (en) * | 1999-04-16 | 2001-06-05 | Cutek Research Inc. | Compliant wafer chuck |
US6128830A (en) * | 1999-05-15 | 2000-10-10 | Dean Bettcher | Apparatus and method for drying solid articles |
US6436824B1 (en) * | 1999-07-02 | 2002-08-20 | Chartered Semiconductor Manufacturing Ltd. | Low dielectric constant materials for copper damascene |
US6508259B1 (en) * | 1999-08-05 | 2003-01-21 | S.C. Fluids, Inc. | Inverted pressure vessel with horizontal through loading |
US6334266B1 (en) * | 1999-09-20 | 2002-01-01 | S.C. Fluids, Inc. | Supercritical fluid drying system and method of use |
US6251250B1 (en) * | 1999-09-03 | 2001-06-26 | Arthur Keigler | Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well |
US6228563B1 (en) * | 1999-09-17 | 2001-05-08 | Gasonics International Corporation | Method and apparatus for removing post-etch residues and other adherent matrices |
US6286231B1 (en) * | 2000-01-12 | 2001-09-11 | Semitool, Inc. | Method and apparatus for high-pressure wafer processing and drying |
US6558475B1 (en) * | 2000-04-10 | 2003-05-06 | International Business Machines Corporation | Process for cleaning a workpiece using supercritical carbon dioxide |
CN1216415C (zh) * | 2000-04-25 | 2005-08-24 | 东京毅力科创株式会社 | 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具 |
US6921456B2 (en) * | 2000-07-26 | 2005-07-26 | Tokyo Electron Limited | High pressure processing chamber for semiconductor substrate |
IL154749A0 (en) * | 2000-09-07 | 2003-10-31 | Cmb Ind | Short-length reduced-pressure backflow preventor |
US6388317B1 (en) * | 2000-09-25 | 2002-05-14 | Lockheed Martin Corporation | Solid-state chip cooling by use of microchannel coolant flow |
US6418956B1 (en) * | 2000-11-15 | 2002-07-16 | Plast-O-Matic Valves, Inc. | Pressure controller |
US6561220B2 (en) * | 2001-04-23 | 2003-05-13 | International Business Machines, Corp. | Apparatus and method for increasing throughput in fluid processing |
US6564826B2 (en) * | 2001-07-24 | 2003-05-20 | Der-Fan Shen | Flow regulator for water pump |
US6561767B2 (en) * | 2001-08-01 | 2003-05-13 | Berger Instruments, Inc. | Converting a pump for use in supercritical fluid chromatography |
US6561481B1 (en) * | 2001-08-13 | 2003-05-13 | Filonczuk Michael A | Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate |
US6550484B1 (en) * | 2001-12-07 | 2003-04-22 | Novellus Systems, Inc. | Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing |
US6521466B1 (en) * | 2002-04-17 | 2003-02-18 | Paul Castrucci | Apparatus and method for semiconductor wafer test yield enhancement |
-
2000
- 2000-11-01 CA CA002387341A patent/CA2387341A1/en not_active Abandoned
- 2000-11-01 KR KR1020027005569A patent/KR100742473B1/ko active IP Right Grant
- 2000-11-01 AU AU32672/01A patent/AU3267201A/en not_active Abandoned
- 2000-11-01 AU AU49022/01A patent/AU4902201A/en not_active Abandoned
- 2000-11-01 WO PCT/US2000/041787 patent/WO2001033615A2/en active Application Filing
- 2000-11-01 JP JP2001547635A patent/JP4621400B2/ja not_active Expired - Lifetime
- 2000-11-01 EP EP00992996A patent/EP1243021A2/en not_active Withdrawn
- 2000-11-01 JP JP2001535218A patent/JP5073902B2/ja not_active Expired - Fee Related
- 2000-11-01 CN CNB008152993A patent/CN1175470C/zh not_active Expired - Fee Related
- 2000-11-01 CA CA002387373A patent/CA2387373A1/en not_active Abandoned
- 2000-11-01 CN CNB008152985A patent/CN1192417C/zh not_active Expired - Fee Related
- 2000-11-01 WO PCT/US2000/041853 patent/WO2001046999A2/en not_active Application Discontinuation
- 2000-11-01 KR KR1020027005570A patent/KR100744888B1/ko not_active IP Right Cessation
- 2000-11-01 EP EP00991448A patent/EP1234322A2/en not_active Withdrawn
- 2000-11-02 TW TW089123137A patent/TW484169B/zh not_active IP Right Cessation
-
2003
- 2003-01-15 US US10/346,445 patent/US7060422B2/en not_active Expired - Fee Related
- 2003-03-06 US US10/384,096 patent/US6926798B2/en not_active Expired - Fee Related
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP6992625B2 (ja) | 2018-03-16 | 2022-01-13 | 三菱ケミカル株式会社 | コークス製造用粘結材の製造方法 |
Also Published As
Publication number | Publication date |
---|---|
CA2387341A1 (en) | 2001-05-10 |
JP2003513466A (ja) | 2003-04-08 |
CN1387673A (zh) | 2002-12-25 |
WO2001033615A2 (en) | 2001-05-10 |
WO2001046999A3 (en) | 2002-07-11 |
KR20020047314A (ko) | 2002-06-21 |
EP1234322A2 (en) | 2002-08-28 |
TW484169B (en) | 2002-04-21 |
WO2001046999A2 (en) | 2001-06-28 |
CA2387373A1 (en) | 2001-06-28 |
US20030150559A1 (en) | 2003-08-14 |
US20030136514A1 (en) | 2003-07-24 |
CN1192417C (zh) | 2005-03-09 |
EP1243021A2 (en) | 2002-09-25 |
JP2003518736A (ja) | 2003-06-10 |
AU3267201A (en) | 2001-05-14 |
US6926798B2 (en) | 2005-08-09 |
KR20020047315A (ko) | 2002-06-21 |
KR100744888B1 (ko) | 2007-08-01 |
CN1399790A (zh) | 2003-02-26 |
WO2001033615A3 (en) | 2001-12-06 |
KR100742473B1 (ko) | 2007-07-25 |
AU4902201A (en) | 2001-07-03 |
US7060422B2 (en) | 2006-06-13 |
CN1175470C (zh) | 2004-11-10 |
JP4621400B2 (ja) | 2011-01-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5073902B2 (ja) | 多数のワークピースを超臨界処理する方法及び装置 | |
US6748960B1 (en) | Apparatus for supercritical processing of multiple workpieces | |
EP0681317B1 (en) | Method for cleaning semiconductor wafers using liquefied gases | |
KR100441637B1 (ko) | 일체화된반도체웨이퍼처리시스템 | |
KR100875788B1 (ko) | 기판처리장치 | |
US6763840B2 (en) | Method and apparatus for cleaning substrates using liquid carbon dioxide | |
US6451118B1 (en) | Cluster tool architecture for sulfur trioxide processing | |
JP2005051089A (ja) | 基板処理装置および基板処理方法 | |
JP2008091653A (ja) | 塗布・現像処理方法 | |
KR20000014800A (ko) | 로드락 챔버의 벤틸레이션 시스템을 이용한 세정 방법 | |
JP4318930B2 (ja) | 基板処理方法 | |
JPH04263423A (ja) | 連続処理エッチング方法及びその装置 | |
JPH113925A (ja) | 基板処理方法および基板洗浄処理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20071030 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20071030 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100810 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20101007 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20101214 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110209 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20110405 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110705 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20110822 |
|
A912 | Re-examination (zenchi) completed and case transferred to appeal board |
Free format text: JAPANESE INTERMEDIATE CODE: A912 Effective date: 20111028 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120719 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20120823 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20150831 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |