TW484169B - Method and apparatus for supercritical processing of a workpiece - Google Patents

Method and apparatus for supercritical processing of a workpiece Download PDF

Info

Publication number
TW484169B
TW484169B TW089123137A TW89123137A TW484169B TW 484169 B TW484169 B TW 484169B TW 089123137 A TW089123137 A TW 089123137A TW 89123137 A TW89123137 A TW 89123137A TW 484169 B TW484169 B TW 484169B
Authority
TW
Taiwan
Prior art keywords
module
workpiece
patent application
supercritical processing
scope
Prior art date
Application number
TW089123137A
Other languages
English (en)
Inventor
Maximillian A Biberger
Frederick Paul Layman
Thomas Robert Sutton
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW484169B publication Critical patent/TW484169B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Description

經濟部智慧財產局員工消費合作社印製 484169 Α7 Β7 五、發明說明(1 ) 相關申請案 本申請案主張1 9 9 9年1 1月2日提出申請之美國 專利申請第6 0 / 1 6 3 1 2 1號案之優先權’該案內容 在此援引爲參考。 發明領域 本發明係關於超臨界處理之領域。詳言之’本發明係 關於將一工件於超臨界環境以及在非超臨界環境中加以處 理之超臨界處理領域。 發明背景 半導體製程中於離子植入、蝕刻及其他處理步驟中係 需要使用光阻劑。在離子植入步驟中,該光阻劑係用以遮 蔽該半導體基質其未植入摻雜劑之表面。在蝕刻步驟中, 該光阻劑係用以遮蔽該半導體基質未蝕刻之表面。其他處 理步驟之例子係包括使用光阻劑做爲處理中之晶圓之保護 覆層或者係一 Μ E M S (微電子機械系統)裝置之保護覆 層。在離子植入步驟之後,該光阻劑係會具有一堅硬的外 皮層包覆凝膠狀之心體。該外皮層通常係造成該光阻劑難 以淸除之原因。在蝕刻步驟之後.,餘留之光阻劑係會具有 硬化之特性,而造成該光阻劑難以淸除。在蝕刻步驟之後 ,殘餘物(光阻劑殘餘物混合蝕刻殘留物)係會覆蓋該蝕 刻圖樣之側壁。視蝕刻步驟及蝕刻材料之類型而定,淸理 該光阻劑殘餘物與鈾刻殘留物之混合物係一大挑戰,因爲 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -4 - ------------裝--------訂---------. (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 484169 A7 ____B7___ 五、發明說明(2 ) 混合有蝕刻殘留物之光阻劑殘餘物通常係會頑強地附著在 蝕刻圖樣之側壁上。 在習知技術中,光阻劑及殘餘物係藉由在一潤溼-淸 理池中淸洗之後,再由〇2電漿中之電漿灰來加以淸除。在 圖1之方塊圖中係顯示習知技術之半導體蝕刻及金屬化處 理之步驟。此半導體蝕刻及金屬化方法1 〇係包括一光阻 劑塗佈步驟1 2、一光阻劑暴露步驟1 4、一光阻劑顯像 步驟1 6、一介電體蝕刻步驟1 8、一潤溼淸洗步驟2 2 以及一金屬鍍覆步驟2 4。在光阻劑塗佈步驟1 2中,其 係將光阻劑施加至一具有外露氧化層之晶圓。在光阻劑暴 露步驟1 4中,該光阻劑係暴露於光線中,而其中一光罩 件係部分地遮蔽該光線。 視該光阻劑係陽性或陰性光阻劑而定,在光阻劑顯像 步驟1 6中係分別將暴露之光阻劑或非暴露光阻劑加以移 除’而在氧化層上留下一外露之圖樣。在介電體蝕刻步驟 1 8中,在氧化層上之外露圖樣係以一種R I E (反應離 子蝕刻)方法來加以蝕刻,其係將外露圖樣蝕刻至氧化層 中,而形成一經過蝕刻之圖樣,同時亦會部分地蝕刻該光 阻劑。這將會增加殘餘物而覆蓋該蝕刻部位之側壁,同時 亦會使該光阻劑硬化。在灰洗步驟2 0中,該0 2電漿係會 氧化及部分地淸除該光阻劑及殘餘物。在潤溼淸洗步驟 2 2中,殘餘之光阻劑及殘餘物便可以在潤溼-淸洗池中 加以淸除。 在金屬鍍覆步驟2 4中,一金屬層係鍍覆在晶圓上以 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -5- 裝--------訂------ <請先閱讀背面之注意事項再填寫本頁) 484169 A7 B7 五、發明說明(3) (請先閱讀背面之注意事項再填寫本頁) 塡滿該蝕刻圖樣,並且覆蓋未蝕刻之部分。在後續之加工 處理中,該金屬覆蓋之未蝕刻部分係至少移除一部分’以 形成電路。 在1 9 9 0年7月3 1日公告之美國專利第 4944837號(Nishikawa等人)中,在習知技術背景 中係揭露一種利甩液化或超臨界氣體來淸除光阻劑之習知 方法。一具有光阻劑之基板係放置在一壓力容器中,其中 該容器亦包含有液化或超臨界氣體。在經過一段預定時間 之後,該液化或超臨界氣體便會迅速地膨脹’而將光阻劑 淸除。 N i s h i k a w a等人教示該超臨界C〇2係可以做爲光阻劑 之顯像劑。一具有光阻層之基板係以一特定圖樣暴露至光 線中,藉此形成一隱像。該具有光阻劑及隱像之基板接著 便放置在超臨界C 0 2池中達三十分鐘。該超臨界C 0 2接 著會凝結而留下光阻劑之圖樣。Nishikawa等人進一步教示 可以增添0 · 5 %之重量百分比的甲烷一異丁烯一酮( 經濟部智慧財產局員工消費合作社印製 Μ I BK)於超臨界C0 2中,其會促進超臨界C〇2之作 用,進而將顯影時間由三十分鐘降低至5分鐘。
Nhlnkawa等人亦教示可以使用超臨界C〇2以及重量 百分比7 %之Μ I B K來淸除光阻劑。該具有光阻劑之基 板係放置在超臨界C0 2以及Μ I ΒΚ中達3 0 - 4 5分鐘 。在超臨界C 0 2凝結之後,該光阻劑便可以被淸除。 由Nishikawa等人所教示之方法係基於以下數個理由而 不適用於半導體製造生產線上。迅速地膨脹一液化氣體或 -6 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 經濟部智慧財產局員工消費合作社印製 484169 A7 __ B7 五、發明說明(4 ) 超臨界氣體以將光阻劑由基板上淸除,係有造成基板破裂 之可能性。光阻劑顯像過程需要花費三十分鐘,其效率不 彰。光阻劑顯像或淸除過程中使用Μ I B K並不恰當,因 爲該Μ I Β Κ係有毒的,且因爲該Μ I Β Κ係在沒有更適 當材料可擇用之情況下才使用。 在1 9 9 5年1月3日公告之美國專利第 5377705號(Smith,J r等人)中教示一種用以由 一工件上淸除污染物之系統。該污染物係包括有機微粒及 離子污染物。該系統係包括一可加壓的淸潔容器、一液體 C〇2儲存容器、一泵、一溶劑輸送系統、一分離件、一凝 結器以及各種不同的閥件。該泵係將C 0 2氣體及溶劑傳送 至淸潔容器,且將C〇2氣體加壓至超臨界c〇2。該超臨 界C 0 2以及溶劑係將工件上之污染物加以淸除。一閥件係 可使某些超臨界C〇2以及溶劑由淸潔容器中排放出來,且 該泵可以再充塡超臨界C 0 2以及溶劑。該分離器係可以將 溶劑與超臨界C 0 2分開。該凝結器係將C 0 2凝結成液態 C〇2,使得該液態C〇2儲存容器得以再補充。 採用S m i t h,J r等人所教示之系統來淸除光阻 劑及殘餘物係存在有許多困難。該可加壓淸潔容器之外形 設計並不適合做爲半導體基板處理之用。在淸潔過程中, 排放該超臨界C〇2及溶劑之效率並不佳。此一系統並無法 輕易地改裝成可以符合半導體製造生產線之產能需求。此 一系統並未具備可安全處理半導體基板之條件,此一安全 條件在半導體製造生產線中係相當重要的。此一系統對於 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -7- I----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 484169 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(5 ) 半導體基板處理而言實不具備有經濟效益。 在此’所需要的係一種利用超臨界二氧化碳而可以用 於半導體生產線之光阻劑顯像方法。 所需要的係一種利用超臨界二氧化碳而可以用於半導 體生產線之淸除光阻劑的方法。 所需要的係一種超臨界處理系統,其係設計成可用以 處理半導體基板。 所需要的係一種超臨界處理系統,其中超臨界C 0 2以 及溶劑係不需要由一處理室中排放出去,以在處理室中產 生流體流動。 所需要的係一種超臨界處理系統,其係可以提供安全 的半導體基板處理。 所需要的係一種超臨界處理系統,其在半導體基板處 理上係具有經濟效益。 戶斤需要的係一種設備,其係組合餓刻處理以及超臨界 處理。 所需要的係一種設備,其係組合鍍覆處理以及超臨界 處理。 所需要的係一種設備,其係組合超臨界處理及非超臨 界處理。 發明摘要_ 本發明係關於一種超臨界處理及非超臨界處理一工件 之設備’其係包含一傳送模組、一超臨界處理模組、一非 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公髮) -8- -----------AWI --------訂--------- <請先閱讀背面之注意事項再填寫本頁) 484169 Α7 Β7 五、發明說明(6 ) (請先閱讀背面之注意事項再填寫本頁) 超臨界處理模組以及一機械臂。傳送模組係包括一入口。 超臨界處理模組及非超臨界處理模組係連接至傳送模組。 機械臂係定位在傳送模組中。在操作上,機械臂係將工件 由傳送模組入口傳送至超臨界處理模組中。在超臨界處理 之後,該機械臂接著便將工件由超臨界處理模組傳送至非 超臨界處理模組。在非超臨界處理之後,機械臂便將工件 送回至傳送模組之入口。或者,非超臨界處理亦可以在超 臨界處理之前來進行。 圖式之簡單說明 圖1係以方塊圖型式顯示習知技術之半導體蝕刻及金 屬化方法之流程圖。 圖2係以方塊圖型式顯示本發明之半導體蝕刻及金屬 化方法之流程圖。 圖3係以方塊圖型式顯示本發明之超臨界淸除方法之 流程圖。 圖4係顯示本發明之較佳的半導體處理系統。 經濟部智慧財產局員工消費合作社印製 圖5係顯示本發明之較佳的半導體處理模組。 圖6係顯示本發明之第一變化實施例之半導體處理系 統。 、. 圖7係顯示本發明之第二變化實施例之半導體處理系 統。 圖8係顯示本發明之第三變化實施例之半導體處理系 統。 -9 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 484169 A7 B7_五、發明說明(7 ) 圖9係顯示本發明之第八變化實施例之半導體處理系 統。 主要元件對照表 經濟部智慧財產局員工消費合作社印製 1 〇 半 導 體 蝕 刻 及 金 屬 化 方 法 1 2 光 阻 劑 塗 覆 步 驟 1 4 光 阻 劑 暴 露 步 驟 1 6 光 阻 劑 顯 像 步 驟 1 8 介 電 體 蝕 刻 步 驟 2 〇 灰 洗 步 驟 2 2 潤 溼 淸 除 步 驟 2 4 金 屬 鍍 覆 步 驟 3 〇 半 導 體 蝕 刻 及 金 屬 化 方 法 3 2 光 阻 劑 塗 佈 步 驟 3 4 光 阻 劑 暴 露 步 驟 3 6 光 阻 劑 顯 像 步 驟 3 8 介 電 體 蝕 刻 步 驟 4 〇 超 臨 界 淸 除 方 法 4 2 金 屬 鍍 覆 步 驟 5 2 第 一 處 理 步 驟 5 4 第 二 處 理 步 驟 5 6 第 二 處 理 步 驟 5 8 第 四 處 理 步 驟 6 0 第五處理步驟 (請先閱讀背面之注意事項再填寫本頁) 裝 ----訂---------線 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -10- 484169 A7 B7 經濟部智慧財產局員工消費合作社印製 發明說明 ( 8 ) 6 2 第 卞·人 處 理 步 驟 6 4 第 七 處 理 步 驟 7 〇 半 導 體 處 理 系 統 7 2 傳 送 模 組 7 4 蝕 刻 模 組 7 6 超 臣品 界 處 理 模 組 7 7 刖 腔 室 7 8 鍍 覆 模 組 7 9 Λ /·, 刖 腔 室 機 器 臂 8 〇 傳 送 模 組 機 器 臂 8 2 電 子 控 制 裝 置 8 4 第 — 處 理 孔 8 5 第 二 處 理 孔 8 6 第 二 處 理 孔 9 0 傳 送 模 組 入 □ 9 2 第 一 傳 輸 站 9 4 第 二 傳 輸 站 9 6 第 — 入 □ 9 8 第 二 入 □ 1 〇 〇 機 器 臂 基 座 1 〇 2 機 器 臂 1 〇 4 ν而 部 作 用 器 1 〇 6 第 一 柵 閥 1 〇 8 第 二 柵 閥 (請先閱讀背面之注意事項再填寫本頁) 裝 一:口、1111.1 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) -11 484169 A7 _B7_ 五、發明說明(9 ) 112 工件凹室 118 工件 13 2 二氧化碳供應容器 13 4 二氧化碳泵 13 6 壓力室 138 化學物質供應容器 14 0 循環泵 144 廢氣收集容器 14 6 二氧化碳管路 14 8 二氧化碳加熱器 14 9 二氧化碳供應配置 15 0 壓力室加熱器 152 循環管路 15 4 循環入口 15 6 循環排放口 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -12- ----------I --------訂--------I ^___w (請先閱讀背面之注意事項再填寫本頁) 1 5 8 化 學 物 質 供 應 管 路 1 5 9 第 一 噴 射 泵 1 6〇 淸 洗 劑 供 應 容 器 1 6 2 淸 洗 劑 供 應 管 路 1 6 3 第 二 噴 射 泵 • 1 6 4 廢 氣 管 路 1 6 5 化 學 物 質 及 淸 洗 劑 供 m 配置 1 7〇 第 —· 變 化 半 導 體 處 理 系 統 2 〇〇 第 二 變 化 半 導 體 處 理 系 統 經濟部智慧財產局員工消費合作社印製 484169 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(1G) 2 0 2 非超臨界處理模組 2 10 第八變化半導體處理系統 2 12 變化傳送模組 214 機械臂軌道 2 2 0 第二變化半導體處理系統 2 2 2 第三傳輸站 2 2 4 第二傳送模組 2 2 6 第二傳送模組機器臂 較佳實施例之詳細說明 圖2係顯示本發明之半導體蝕刻及金屬化方法的方塊 流程圖。該半導體蝕刻及金屬化方法3 0係包括一光阻劑 塗佈步驟3 2、一光阻劑暴露步驟3 4、~'光阻劑顯像步 驟3 6、一介電體蝕刻步驟3 8、一超臨·淸除處理方法 4〇’以及一金屬鍍覆步驟4 2。在光阻劑塗佈步驟3 2 中,該光阻劑係施加至具有一外露之氧化層之晶圓上。在 光阻劑暴露步驟3 4中,該光阻劑係暴露至光線中,其中 該光線係部分地由一光罩件所遮蔽。 視該光阻劑係陽性或陰性光阻劑而定,在光阻劑顯像 步驟3 6中係分別將暴露之光阻劑或非暴露光阻劑加以移 除,而在氧化層上留下一外露之圖樣。在介電體蝕刻步驟 3 8中,在氧化層上之外露圖樣係以一種R I E (反應離 子蝕刻)方法來加以蝕刻,其係將外露圖樣蝕刻於該氧化 層中,而形成一經過蝕刻之圖樣,同時亦會部分地蝕刻該 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -13 - -----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 484169 A7 B7 五、發明說明(11) 光阻劑。這將會產生殘餘物而覆蓋該蝕刻部位之側壁,同 時亦會使該光阻劑硬化。 (請先閱讀背面之注意事項再填寫本頁) 在超臨界淸除處理方法4 0中,超臨界二氧化碳以及 溶劑係用以淸除該光阻劑及殘餘物。在金屬鍍覆步驟4 2 中,一金屬層係鍍覆在晶圓上以塡滿該蝕刻圖樣,並且覆 蓋未蝕刻之部分。在後續之加工處理中,該金屬覆蓋之未 蝕刻部分係至少移除一部分,以形成電路。 圖3係顯示本發明之超臨界淸除方法4 0之流程方塊 圖。該超臨界淸除方法4 0首先在第一處理步驟5 2中係 將具有光阻劑及殘餘物於其上之晶圓放置在一壓力室中, 然後再將壓力室密封。在第二處理步驟5 4中,該壓力室 係以二氧化碳來充壓,直到該二氧化碳變成超臨界二氧化 碳(S C C〇2)爲止。在第三處理步驟5 6中,該超臨界 二氧化碳係攜帶溶劑進入至該壓力室中。在第四處理步驟 5 8中,該超臨界二氧化碳以及溶劑係保持與該晶圓接觸 之狀態,直到該光阻劑以及殘餘物由晶圓上被淸除爲止。 經濟部智慧財產局員工消費合作社印製 在第四處理步驟5 8中,溶劑係將該光阻劑及殘餘物至少 部分地加以溶解。在第五處理步驟6 0中,該壓力室係部 分地洩壓。在第六處理步驟6 2中,其係將該晶圓加以淸 洗。在第七處理步驟6 4中,在減壓該壓力室並且將晶圓 取出之後,該超臨界淸除方法4 0便告完成。 該介電體蝕刻步驟3 8、超臨界淸除方法4 0以及金 屬鍍覆步驟4 2最好係藉由本發明之半導體處理系統(如 圖4所示),而在一半導體製造生產線上來實施。該半導 -14- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 484169 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(12) 體處理系統7 0係包括一傳送模組7 2、一蝕刻模組7 4 、一超臨界處理模組7 6、一前腔室7 7、一前腔室機器 臂7 9、一鍍覆模組7 8、一傳送模組機器臂8 0、以及 電子控制裝置8 2。該傳送模組7 2係包括第一至第三處 理孔8 4 - 8 6以及一傳送模組入口 9 0。該傳送模組入 口 9 0係包括第一及第二傳輸站9 2、9 4 ,以及第一及 第二入口 96、98。 蝕刻模組7 4、穿過前腔室7 7之超臨界處理模組 7 6,以及鍍覆模組7 8最好係分別藉由第一至第三處理 孔8 4 - 8 6而連接至傳送模組7 2。最好,該傳送模組 機器臂8 0係連接至傳送模組7 2之中央部位。第一及第 二傳輸站9 2、9 4係分別經由第一及第二入口 9 6、 9 8而連接至傳送模組7 2。最好,該第一及第二傳輸站 9 2、9 4係分別包含第一及第二負載鎖。電子控制裝置 8 2則係連接至傳送模組7 2。 最好,傳送模組7 2係由低至高之真空吸力來運轉。 最好,蝕刻模組7 4係一種R I E (反應離子蝕刻)模組 。最好,鍍覆模組7 8係一種P V D (物理蒸氣鍍覆)模 組。該P V D模組最好係以極高或超高真空狀態來運轉。 熟習此項技術之人士應可瞭解,R I E模組係可以由 其他的蝕刻模組來取代,諸如電漿蝕刻模組。此外,習於 此技術者可以輕易瞭解,該P V D模組亦可以由其他的鍍 覆模組來取代,諸如一 C V D (化學蒸氣鍍覆)模組。再 者,習於此技術者可以瞭解的是,該半導體處理系統7〇 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -]5 - -------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 484169 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(13) 亦可以設計成僅具有蝕刻模組7 4及超臨界處理模組7 6 ’或者僅具有超臨界處理模組7 6與鍍覆模組7 8。 傳送模組機器臂8 0最好係包括一機器臂基座丨〇〇 、一機器臂1 0 2,以及一端部作用器1 〇 4。該機器臂 基座1 0 0係連接至傳送模組7 2。機器臂1 0 2最好係 兩件式機器臂,其係將端部作用器1 〇 4連接至機器臂基 座1 0 Q。端部作用器1 〇 4係設計成可以拾取及放置工 件。最好’該端部作用器1 〇 4係設計成可以拾取及放置 一晶圓。或者,該端部作用器1 〇 4亦可設計成可拾取及 放置一橡膠圓盤或其他板狀物。或者,傳送模組機器臂 8〇可以由一雙臂式機器臂來取代,其中該雙臂式機器臂 係包括兩臂部及兩端部作用器。 超臨界處理模組7 6最好係包括一·第一柵閥1 〇 6。 第一柵閥1 0 6係連接一工件凹室1 1 2及前腔室7 7。 前腔室7 7最好係包括一第二柵閥1 〇 8。第二柵閥 1〇8係將前腔室7 7連接至傳送模組7 2。 最好,在操作上,傳送模組機器臂8 0係將工件 1 1 8由第一傳輸站9 2傳送至鈾刻模組7 4,並且在該 處進行介電體飩刻步驟3 8。接著,傳送模組機器臂8 0 便將晶圓1 1 8由鈾刻模組7 4傳送至超臨界處理模組 7 6之前腔室7 7。第二柵閥1 0 8接著便封閉,且前腔 室7 7最好係以二氧化碳來充壓。接下來,前腔室機器臂 7 9便將工件1 1 8由前腔室7 7傳送至超臨界處理模組 7 6,且在該處進行超臨界淸除方法4 0。接著,工件便 ------------^裝 (請先閱讀背面之注意事項再填寫本頁)
II! I^OJ1 ϋ ϋ ϋ ϋ I 禮· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -16- 484169 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(14) 可以由藉由前腔室機器臂7 9而由超臨界處理模組7 6移 出至前腔室7 7。然後,該前腔室7 7便藉由一真空泵( 圖上未顯示)來將其抽真空。最好,該真空泵係由渦輪泵 所構成。接著,第二柵閥1 0 8便打開,且該傳送模組機 器臂8 0便將工件1 1 8由超臨界處理模組7 6傳送至鍍 覆模組7 8,且在該處進行金屬鍍覆步驟4 2。接下來, 傳送模組機器臂8 0係將工件1 1 8由鍍覆模組7 8傳送 至第二傳輸站9 4。 最好,該工件1 1 8係晶圓。最好,該晶圓係在一第 一盒匣中,且在傳送模組機器臂8 0將晶圓移動至蝕刻模 組7 4之前,在第一傳輸站9 2上係具有其他晶圓。習於 此技術者可以輕易地瞭解,某些其他晶圓係可以與該晶圓 同時來處理。舉例來說,當晶圓係位在鍍覆模組7 8中時 ,一第二晶圓係可以位在超臨界處理模組7 6中,且一第 三晶圓係可以位在蝕刻模組7 4中。 最好,緊接在金屬鍍覆步驟之後,該晶圓係藉由傳送 模組機器臂8 0而放置在第二傳輸站9 4之一第二盒匣中 。或者,晶圓係在第一傳輸站9 2之第一盒匣中開始及結 束,而一第二族群之晶圓則係在第二傳輸站9 4之第二盒 匣中開始及結束。 習於此技術者可以輕易地瞭解,半導體處理系統7〇 亦可以免設該第二傳輸站9 4或者增設額外的傳輸站。再 者,習於此技術者可以輕易地瞭解,該第一及第二盒匣可 以係前開口式統一艙匣,其係採用一標準機械式界面觀念 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -17- ----------AWI ^--------^---------^___w (請先閱讀背面之注意事項再填寫本頁) 484169 A7 B7____ 五、發明說明(15) ,使得該晶圓可以保持在一潔淨環境中而與外界環境分離 〇 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本發明之超臨界處理模組7 6係顯示在圖5中。該超 臨界處理模組7 6係包括一二氧化碳供應容器1 3 2、一 二氧化碳泵1 34、壓力室1 36、一化學物質供應容器 1 3 8、一循環泵1 40以及一廢氣收集容器1 44。該 二氧化碳供應容器1 3 2係經由二氧化碳泵1 3 4及二氧 化碳管路1 4 6而連接至壓力室1 3 6。此二氧化碳管路 1 4 6係包括一二氧化碳加熱器1 4 8,其係定位在二氧 化碳泵1 3 4與壓力室1 3 6之間。壓力室1 3 6係包括 一壓力室加熱器1 5 0。循環泵1 4 0係位在一循環管路 1 5 2中,並且以一循環入口 1 54及一循環出口 1 56 連接至壓力室1 3 6。該化學物質供應容器1 3 8係藉由 一化學物質供應管路1 5 8而連接至循環管路1 5 2,其 中該化學物質供應管路1 5 8係包括一第一噴射泵1 5 9 。一淸洗劑供應容器1 6 0係藉由一淸洗劑供應管路 1 6 2而連結至循環管路1 5 2,其中該淸洗劑供應管路 1 6 2係包括一第二噴射泵1 6 3。該廢氣收集容器 144係藉由廢氣管路164而連接至壓力室136。 該二氧化碳供應容器1 3 2 .、二氧化碳泵1 3 4、及 二氧化碳加熱器1 4 8係構成一二氧化碳供應配置1 4 9 。該化學物質供應容器1 3 8、第一噴射泵1 5 9、淸洗 劑供應容器1 6 0及第二噴射泵1 6 3係構成一化學物質 及淸洗劑供應配置1 6 5。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -18 - 484169 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(16) 習於此技術者可以輕易地瞭解,該超臨界處理模組 7 6係包括閥件、控制電路、過濾器及一體式接線,這些 都是典型的超臨界流體處理系統中所具有的。 現請參照圖3、4及5,其中超臨界淸除方法4 0之 實施係由第一處理步驟5 2開始,其中具有光阻劑或殘餘 物(或者係光阻劑及殘餘物)之晶圓係藉由前腔室機器臂 7 9而插入至壓力室1 3 6之晶圓凹室1 1 2中,且接著 ,該壓力室1 3 6係藉由封閉該第一柵閥1 0 6而加以密 封。在第二處理步驟5 4中,藉由二氧化碳泵1 3 4而將 二氧化碳由二氧化碳供應容器1 3 2抽取至壓力室1 3 6 ,以將壓力室1 3 6充壓。在第二處理步驟5 4期間,二 氧化碳係藉由二氧化碳加熱器1 4 8來加熱,且該壓力室 1 3 6係藉由壓力室加熱器1 5 0來加熱,以確保在壓力 室1 3 6中之二氧化碳之溫度係高於臨界溫度。二氧化碳 之臨界溫度係3 1 °C。最好,在壓力室1 3 6中之二氧化 碳的溫度係在4 5 °C至7 5 t的範圍內。或者,在壓力室 1 3 6中之二氧化碳之溫度係維持在3 1 °C至1 0 0 °C之 範圍內。 在接近初始超臨界狀態時,該第一噴射泵1 5 9便藉 由循環管路1 5 2而由化學物質供應容器1 3 8中抽取溶 劑至壓力室1 3 6,且在第三處理步驟5 6中,該二氧化 碳泵係進一步加壓該超臨界二氧化碳。在溶劑噴射開始時 ,在壓力室1 3 6中之壓力最好係大約爲1 1 0 0 — 1 2 0 0 p s i 。一旦所需要之溶劑量已經抽取至壓力室 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -1 g - -----------裝--------訂---------^9. (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 484169 A7 _ —B7 五、發明說明(17) 1 3 6且已經達到所需要z超臨界狀態時,該二氧化碳泵 134便停止加壓該壓力室136,且第一噴射泵159 亦停止將溶劑抽取至壓力室1 3 6中,且在第四處理步驟 5 8中,該循環泵1 4 0便開始將超臨界二氧化碳及溶劑 加以循環。最好,在此時之壓力値係大約爲2 7 0 0 - 2 8 0 0 p s i 。藉由循環超臨界二氧化碳及溶劑,該超 臨界二氧化碳便可以保持溶劑與晶圓相接觸。此外,藉由 循環該超臨界二氧化碳及溶劑,流體之流動係有助於將光 阻劑及殘餘物由晶圓上加以淸除。 最好,在第四處理步驟5 8期間,該晶圓在壓力室 1 3 6中係保持固定不動的。或者,在第四處理步驟5 8 期間,該晶圓在壓力室1 3 6中係轉動的。 在光阻劑及殘餘物已由晶圓上淸除之後,壓力室 1 3 6便藉由排放某些超臨界二氧化碳、溶劑、淸除之光 阻劑以及淸除之殘餘物至廢氣收集容器1 4 4而部分地洩 壓,以使該壓力室1 3 6回復到接近在第五處理步驟6 0 中之起始超臨界狀態。最好,在壓力室1 3 6中之壓力係 可以在此時至少循環一次,其係藉由升高該壓力然再次部 分處理地洩壓該壓力室1 3 6而達成。這可以提升該壓力 室1 3 6之淸潔性。在第五處理步驟6 0中,壓力室最好 係維持在高於臨界溫度以及高於臨界壓力之狀態。二氧化 碳之臨界壓力係1070psi。 在第六處理步驟6 2中,第二噴射泵1 6 3係經由循 環管路而由淸洗劑供應容器1 6 0抽取一淸潔劑至壓力室 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -20- -----------裝--------訂---------^91. (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 484169 A7 ___Β7___ 五、發明說明(18) 1 3 6中,且該二氧化碳泵1 3 4亦將壓力室1 3 6充壓 至接近所需要之超臨界狀態,且接著,該循環泵1 4 0便 循環該超臨界二氧化碳以及淸潔劑,以淸除該晶圓。最好 ,該淸潔劑係由包含水、醇類、丙酮及其混合物之族群中 選出。最好,該淸潔劑係醇類及水之混合物。最好,醇類 係由包含異丙醇、乙醇及其他低分子量醇類之族群中選出 。最好,該醇類係由包含異丙醇、乙醇中選出。若該醇類 爲乙醇則更佳。 最好,晶圓在第六處理步驟6 2期間於壓力室1 3 6 中係靜止不動的。或者,在第六處理步驟6 2期間,該晶 圓在壓力室1 3 6中係轉動的。 在第七處理步驟6 4中,壓力室1 3 6係藉由將壓力 室1 3 6排氣至廢氣收集容器1 4 4而減壓,且將該第一 柵閥1 0 6打開,而藉由前腔室7 7之機械臂而將晶圓由 壓力室1 3 6中取出。 本發明之其他的超臨界淸除方法係揭露在以下之專利 中,其內容皆在此援引爲參考:2000年1〇月25日 申請之美國專利第(代理人檔案編號S S I — 〇 〇 1 〇 3 );1 9 9 9年9月3日提出申請之美國專利申請第 〇9 / 3 8 9 ,7 8 8號;1 9 9 8年5月2 7日提出申 請之美國專利申請第0 9 / 0 8 5,3 9 1號;以及 1 9 9 7年5月2 7日提出申請之美國專利申請第 60/047,739 號。 本發明之半導體處理系統之第一變化實施例係顯示在 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) Γ7Γ -- -----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 484169 A7 B7 五、發明說明(19) 圖6中。該第一變化半導體處理系統1 7 0係將半導體處 理系統7 0中之前腔室7 7與前腔室機器臂7 9移除。在 第一變化半導體處理系統1 7 0中,超臨界處理模組7 6 係直接連接至第二處理孔8 5,且該真空泵係連接至超臨 界處理模組7 6。因此,在第一變化半導體處理系統 1 7 0中’超臨界處理模組7 6係在真空與超臨界狀態之 間來操作。 圖7係顯示本發明之半導體處理系統之第二變化實施 例。第二變化半導體處理系統2 2 0係在該半導體處理系 統7 0上增添一第三傳輸站2 2 2、一第二傳送模組 2 2 4以及一第二傳送模組機器臂2 2 6。在第二變化半 導體處理系統2 2 0中,第三傳輸站2 2 2係將傳送模組 7 2連接至第二傳送模組2 2 4。第二傳送模組機器臂 2 2 6係位在第二傳送模組2 2 4中。該蝕刻模組7 4與 鍍覆模組7 8係連接至傳送模組7 2,而超臨界處理模組 7 6則係連接至第二傳送模組2 2 4。因此,該第二變化 半導體處理系統2 2 0係將超臨界處理模組7 6與在真空 狀態下操作之蝕刻模組7 4及鍍覆模組7 8分開。藉此方 式,便可以提升處理之淸潔性。或者,在第二變化半導體 處理系統2 2 0中,在傳送模組7 2與第二傳送模組 2 2 4之間亦可以增加一第四傳輸站。 圖8係顯示本發明之半導體處理系統之第三變化實施 例。該第三變化半導體處理系統2 0 0係包括傳送模組 7 2、超臨界處理模組7 6、傳送模組機器臂8 0以及一 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -22- 裝--------訂------ (請先閱讀背面之注意事項再填寫本頁) 484169 A7 _B7___ 五、發明說明(2〇) (請先閱讀背面之注意事項再填寫本頁) 非超臨界處理模組2 0 2。該非超臨界處理模組2 0 2最 好係一半導體處理模組。非超臨界處理模組2 0 2最好係 由包含有蝕刻模組、物理蒸氣鍍覆模組、一化學蒸氣鍍覆 模組、一電鍍模組、一化學機械硏磨模組、一光蝕刻模組 、一灰洗模組、一刮擦模組以及其他半導體處理模組之族 群中選出。 在本發明之半導體處理系統之第四變化實施例中,該 半導體處理系統7 0之傳送模組7 2並非係在真空狀態下 運轉,且該第一傳輸站9 2及第二傳輸站9 4係未具有負 載鎖。反而,該傳送模組7 2係在大氣壓力或略高於周圍 環境之正壓力的環境下來運轉,其中該正壓力係藉由一惰 性氣體噴射配置所產生。該惰性氣體噴射配置係將惰性氣 體,諸如氬氣、二氧化碳或氮氣,噴射至傳送模組7 2中 。這可以確保若該傳送模組7 2並非在真空狀態下操作時 ,在傳送模組7 2中仍可以具有較爲乾淨的處理環境。 經濟部智慧財產局員工消費合作杜印製 本發明之半導體處理系統之第五變化實施例係將第四 變化實施例中之傳送模組7 2取消。在第五變化半導體處 理系統中,該傳送模組機器臂8 0僅係一設計用以在第一 傳輸站9 2與第二傳輸站9 4、蝕刻模組7 4、超臨界處 理模組7 6及鍍覆模組7 8之間移動工件,而未具有由該 傳送模組7 2所提供之遮蓋效應的優點。 本發明之半導體處理系統之第六變化實施例係在半導 體處理系統7 0中增設一檢查站。在第六變化半導體處理 系統中,該工件1 1 8係在傳送至鍍覆模組7 8之前先被 本^:尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 7^3 -~" 484169 A7 ___ B7 五、發明說明(21) (請先閱讀背面之注意事項再填寫本頁) 傳送至該檢查站。在檢查站中,對工件1 1 8進行檢查係 可確保光阻劑及殘餘物已經由工件上淸除。最好,該檢查 站係採用光譜分析器來檢查工件。或者,該檢查站係配置 在超臨界處理模組7 6中。 或者,在第六變化半導體處理系統之操作中,若預料 該工件1 1 8之光阻劑將會蝕刻完成,以及若預料到殘餘 物不會沉積在工件上時,該工件1 1 8便可以由蝕刻模組 7 4直接傳送至檢查站。因此,若檢查站發現未殘餘光阻 劑且亦未發現有殘餘物時,便可以直接略過該超臨界淸除 步驟4 〇。 本發明之半導體處理系統之第七變化實施例係在半導 體處理系統7 0上增設一前緣端機械臂。在第七變化半導 體處理系統中,該前緣端機械臂係位在傳送模組7 2外面 ’且該第一及第二盒匣係定位在遠離第一傳輸站9 2及第 二傳輸站9 4之位置。該前緣端機械臂係設計成可以將晶 圓由第一盒匣移動至第一傳輸站9 2,並且最好係設計成 可將晶圓由第二傳輸站9 4移動至第二盒匣。 經濟部智慧財產局員工消費合作社印製 圖9係顯示本發明半導體處理系統之第八變化實施例 。該第八變化半導體處理系統2 1 0係包含一變化傳送模 組2 1 2以及一機械臂軌道2 1 4。 本發明之半導體處理系統之一第九變化實施例係在該 半導體處理系統7 0上增設一晶圓定位機構。該晶圓定位 機構係依照一扁平、一缺口或其他的方向指示件而將該晶 圓加以疋位。最好’ g亥晶圓係定位在第一*傳輸站9 2中。 -24- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 經濟部智慧財產局員工消費合作社印製 484169 A7 __________JB7__ 五、發明說明(22) 或者,該晶圓亦可以定位在第二傳輸站9 4中。 本發明之第一變化超臨界處理模組係以另一壓力室來 取代壓力室1 3 6與第一柵閥1 0 6。該變化壓力室係包 含一腔室外殻以及一液壓驅動晶圓壓筒。該腔室外殻係包 含〜圓柱狀凹室,其中該凹室底部係呈開放狀。該液壓驅 動晶圓壓筒係設計成可以密封緊靠在圓柱形凹室外側之腔 室外殻。在操作上,晶圓係放置在該液壓驅動晶圓壓筒上 。接著,液壓驅動晶圓壓筒係向上移動而密封該腔室外殼 。一旦晶圓處理完成之後,該液壓驅動晶圓壓筒便可以降 下,且可將晶圓取出。 本發明之超臨界處理模組之第二變化實施例係在循環 管路1 5 2上設有另一入口,以由晶圓凹室1 1 2之邊緣 進入至該晶圓凹室1 1 2,以及在晶圓凹室1 1 2之頂部 中央部位設有一排出口。另一入口最好係設計成可以將超 臨界二氧化碳噴射在一由晶圓凹室1 1 2所界定之平面上 。最好,另一入口係相對於該晶圓凹室1 1 2之半徑而呈 一角度,使得在操作上,該另一入口及另一排出口係會在 晶圓凹室1 1 2中形成漩流。 習於此技術者可以輕易地瞭解,在不脫離本發明之精 神及範圍之情況下,仍可以對本發明之較佳實施例進行各 種不同之修飾及變化,其中本發明之精神及範圍乃係由後 附之申請專利範圍所界定。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -25 - -----------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁)

Claims (1)

  1. 484169 A8 B8 C8 D8 六、申請專利範圍 (請先閱讀背面之注意事項再填寫本頁) 1 . 一種用以超臨界處理一工件之設備,其包含: a . —傳送模組,其具有一入口; b · —超臨界處理模組,其係連接至該傳送模組; c · 一非超臨界處理模組,其係連接至該傳送模組 以及 d · —傳送機構,其係連接至傳送模組’該傳送機構 係設計成可以在入口、超臨界處理模組以及非超臨界處理 模組之間移動該工件。 2 .如申請專利範圍第1項之設備,其中該傳送模組 之入口係包含一傳輸站。 3 ·如申請專利範圍第2項之設備,其中該傳送模組 之入口係進一步包含一額外的傳輸站。 4 ·如申請專利範圍第1項之設備,其中該傳送模組 係在真空狀態下操作,且其中該傳送模組之入口係包含一 負載鎖。 5 ·如申請專利範圍第4項之設備,其中該傳送模組 之入口係進一步包含一額外之負載鎖。 經濟部智慧財產局員工消費合作社印製 6 ·如申請專利範圍第1項之設備,其中該非超臨界 處理模組係包含一半導體處理模組。 7 ·如申請專利範圍第6項之設備,其中該半導體處 理模組係由包含有蝕刻模組、物理蒸氣鍍覆模組、化學蒸 氣鍍覆模組、電鍍模組、化學機械硏磨模組、光蝕刻模組 、以及其他半導體處理模組之族群中選出。 8 ·如申請專利範圍第1項之設備,其中該傳送機構 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)_ 26 484169 A8 B8 C8 D8 A、申請專利範圍 係包含一機械臂。 9 ·如申請專利範圍第8項之設備,其中該傳送模組 係包含一圓形外形。 1 0 ·如申請專利範圍第9項之設備,其中該機械臂 係包含一中央機械臂,該中央機械臂係佔據該圓形外形之 中央部位。 1 1 ·如申請專利範圍第8項之設備,其中該傳送模 組係包含一軌道外形。 1 2 ·如申請專利範圍第丄χ項之設備,其中該機械 臂係包含一軌道狀機械臂,該軌道狀機械臂係包含連接至 軌道之機械臂,使得機械臂係可以沿著軌道而移動,以至fJ 達該沿著軌道配置之超臨界處理模組以及非超臨界處理模 組。 1 3 ·如申請專利範圍第8項之設備,其中該機械臂 係包含一可伸展臂部以及一端部作用器。 1 4 ·如申請專利範圍第1 3項之設備,其中該機械 臂係進一步包含一額外之臂部及端部作用器。 1 5 ·如申請專利範圍第1項之設備,其中該第一超 臨界處理模組係包含一壓力容器。 1 6 ·如申請專利範圍第1 5項之設備,其中該壓力 容器係包含一工件凹室以及一壓力容器入口,該工件凹室 係在超臨界處理期間用以容置該工件,而該壓力容器入□ 則係可使工件進入及離開。 1 7 .如申請專利範圍第1 6項之設備,其中該傳送 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)~ ' ---------·$丨丨 (請先閱讀背面之注意事項再填寫本頁} 、-口 經濟部智慧財產局員工消費合作社印製 484169 8 8 8 8 ABCD 六、申請專利範圍 機構係設計成可以將工件放置在工件凹室中。 (請先閲讀背面之注意事項再填寫本頁) 1 8 ·如申請專利範圍第1 6項之設備,其進一步包 含一前腔室’其係將傳送模組與超臨界處理模組連接在一 起。 1 9 ·如申請專利範圍第1項之設備,其進一步包含 用以充壓該超臨界處理模組之裝置。 2 0 ·如申請專利範圍第1 9項之設備,其中該用以 充壓超臨界處理模組之裝置係包含一 C 0 2充壓設計,其係 包含一連接至一泵之C 〇2供應容器,其中該泵係連接至超 臨界處理模組。 2 1 ·如申請專利範圍第1 8項之設備,其進一步包 含用以密封之裝置,該用以密封之裝置係可活動式地密封 該壓力容器入口。 2 2 ·如申請專利範圍第1項之設備,其中該傳送模 組係進一步包含用以在傳送模組中產生真空之裝置。 經濟部智慧財產局員工消費合作社印製 2 3 ·如申請專利範圍第1項之設備,其中該傳送模 組係進一步包含在傳送模組中用以維持一相對於周圍環境 而成略小之正壓力的裝置。 2 4 .如申請專利範圍第2 3項之設備,其中該用以 在傳送模組中維持略小正壓力之裝置係包含一惰性氣體噴 射配置。 2 5 ·如申請專利範圍第1項之設備,其進一步包含 控制裝置’使得該控制裝置係可導引該傳送機構以移動工 件。 -28- 本紙張尺度適用中國國家標準(CNS )八4規格(21〇><297公釐) 484169 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 2 6 ·--種工件之超臨界處理方法,其包含以下之步 驟: a ·將工件由一傳送模組之入口傳送至傳送模組中’ b .將工件傳送至一超臨界處理模組; c ·在超臨界處理模組中處理該工件; d .將第一工件傳送至非超臨界處理模組; e ·在非超臨界處理模組中處理該工件;以及 f ·將工件回送至傳送模組之入口。 2 7 ·如申請專利範圍第2 6項之方法,其中該傳送 模組之入π係包含一傳輸站。 2 8 .如申請專利範圍第2 7項之方法,其中該傳送 模組係進一步包含一額外之傳輸站。 2 9 . —種用以超臨界處理一工件之設備,其包含: a .用以傳送工件之裝置,其係設計成可將工件傳送 至一傳送模組中; b .用以超臨界處理之裝置,其係設計成在操作該傳 送工件之裝置時可將工件傳送至超臨界處理裝置’且進一 步使得在操作該超臨界處理裝置時,便可以將該工件加以 處理;以及 c ·用以非超臨界處理之裝置,其係設計成在操作該 傳送工件之裝置時可將工件傳送至非超臨界處理裝置,且 進一步使得在操作該非超臨界處理裝置時,便可以將該工 件加以處理。 3〇.一種用以超臨界處理一工件之設備,其包含: 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閱讀背面之注意事項再填寫本頁) 、1T 484169 A8 B8 C8 D8 六、申請專利範圍 a · —傳輸站; b . —超臨界處理模組,其係連接至該傳輸站; c . 一非超臨界處理模組,其係連接至該傳輸站;以 及 d . —傳送機構,其係連接至該傳輸站,該傳送機構 係設計成可以在入口、超臨界處理模組以及非超臨界處理 模組之間移動該工件。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -30- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
TW089123137A 1999-11-02 2000-11-02 Method and apparatus for supercritical processing of a workpiece TW484169B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US16312199P 1999-11-02 1999-11-02

Publications (1)

Publication Number Publication Date
TW484169B true TW484169B (en) 2002-04-21

Family

ID=22588579

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089123137A TW484169B (en) 1999-11-02 2000-11-02 Method and apparatus for supercritical processing of a workpiece

Country Status (9)

Country Link
US (2) US7060422B2 (zh)
EP (2) EP1234322A2 (zh)
JP (2) JP5073902B2 (zh)
KR (2) KR100742473B1 (zh)
CN (2) CN1192417C (zh)
AU (2) AU3267201A (zh)
CA (2) CA2387373A1 (zh)
TW (1) TW484169B (zh)
WO (2) WO2001046999A2 (zh)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6497239B2 (en) 1999-08-05 2002-12-24 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
EP1277233A2 (en) * 2000-04-25 2003-01-22 Tokyo Electron Corporation Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6921456B2 (en) * 2000-07-26 2005-07-26 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
WO2002095800A2 (en) * 2001-05-22 2002-11-28 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6782900B2 (en) 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
US6706641B2 (en) 2001-09-13 2004-03-16 Micell Technologies, Inc. Spray member and method for using the same
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6763840B2 (en) 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
FR2838422A1 (fr) * 2002-04-11 2003-10-17 Memscap Procede de fabrication de composants microelectromecaniques
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US6880560B2 (en) 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
JP3861798B2 (ja) * 2002-11-19 2006-12-20 株式会社日立ハイテクサイエンスシステムズ レジスト現像処理装置とその方法
JP2004228526A (ja) * 2003-01-27 2004-08-12 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US20040194886A1 (en) * 2003-04-01 2004-10-07 Deyoung James Microelectronic device manufacturing in coordinated carbon dioxide processing chambers
US7226512B2 (en) * 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
KR100505693B1 (ko) * 2003-06-26 2005-08-03 삼성전자주식회사 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
DE102004029077B4 (de) * 2003-06-26 2010-07-22 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zur Entfernung eines Photoresists von einem Substrat
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US20050181310A1 (en) * 2004-02-17 2005-08-18 Shun-Fa Yang Method for etching metal surface of golf club head
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060070640A1 (en) * 2004-10-01 2006-04-06 Darko Babic Method and system for injecting chemistry into a supercritical fluid
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US20100108636A1 (en) * 2008-10-30 2010-05-06 Seagate Technology Llc Integrated Tool for Fabricating an Electronic Component
US8596648B2 (en) * 2010-10-22 2013-12-03 Oshkosh Corporation Pump for vehicle suspension system
US20120266810A1 (en) * 2011-04-20 2012-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization system for high wafer topography
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
EP3280676B1 (en) 2016-04-08 2018-11-07 Oshkosh Corporation Leveling system for lift device
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10179941B1 (en) * 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102037918B1 (ko) * 2017-11-28 2019-10-29 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP6992625B2 (ja) 2018-03-16 2022-01-13 三菱ケミカル株式会社 コークス製造用粘結材の製造方法
KR102225957B1 (ko) 2018-09-12 2021-03-11 세메스 주식회사 기판 처리 장치
KR102636979B1 (ko) * 2019-04-26 2024-02-14 삼성전자주식회사 멀티 챔버 장치
KR20230092188A (ko) 2021-12-17 2023-06-26 삼성전자주식회사 기판 처리 장치 및 방법

Family Cites Families (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2873597A (en) * 1955-08-08 1959-02-17 Victor T Fahringer Apparatus for sealing a pressure vessel
US3521765A (en) * 1967-10-31 1970-07-28 Western Electric Co Closed-end machine for processing articles in a controlled atmosphere
US3623627A (en) * 1969-08-22 1971-11-30 Hunt Co Rodney Door construction for a pressure vessel
US3689025A (en) 1970-07-30 1972-09-05 Elmer P Kiser Air loaded valve
US3744660A (en) * 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
GB1392822A (en) 1971-03-02 1975-04-30 Comitato Nazionale Per Lenergi Extraction of metals from solutions
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4355937A (en) * 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (de) * 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf Druckluftgetriebene doppelmembran-pumpe
US4682937A (en) * 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
US4522788A (en) * 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4426358A (en) * 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (de) * 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad Kochgefaess aus kochtopf und deckel, insbesondere dampfdruckkochtopf
FR2536433A1 (fr) 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) * 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) * 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) * 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) * 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
JPS63157870A (ja) 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
DE3861050D1 (de) 1987-05-07 1990-12-20 Micafil Ag Verfahren und vorrichtung zum extrahieren von oel oder polychloriertem biphenyl aus impraegnierten elektrischen teilen mittels eines loesungsmittels sowie destillation des loesungsmittels.
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
WO1989004858A1 (en) 1987-11-27 1989-06-01 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) * 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
JPH02209729A (ja) * 1989-02-09 1990-08-21 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び異物除去装置
EP0409972B1 (en) 1989-02-16 1992-10-21 PAWLISZYN, Janusz B. Apparatus and method for delivering supercritical fluid
US4879431A (en) * 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5213485A (en) * 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
US5062770A (en) * 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4983223A (en) 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) * 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
WO1991012629A1 (en) * 1990-02-16 1991-08-22 Edward Bok Improved installation for wafer transfer and processing
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5217043A (en) 1990-04-19 1993-06-08 Milic Novakovic Control valve
DE69133413D1 (de) 1990-05-07 2004-10-21 Canon Kk Substratträger des Vakuumtyps
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (de) * 1990-06-08 1991-12-12 Ott Kg Lewa Membran fuer eine hydraulisch angetriebene membranpumpe
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) * 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (de) * 1990-10-08 1992-04-09 Dirk Dipl Ing Budde Doppel-membranpumpe
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
CA2059841A1 (en) 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
US5185058A (en) 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
CH684402A5 (de) * 1991-03-04 1994-09-15 Xorella Ag Wettingen Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses.
DE59204395D1 (de) 1991-05-17 1996-01-04 Ciba Geigy Ag Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2.
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5243821A (en) * 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5251776A (en) * 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
JP3040212B2 (ja) * 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
GB2259525B (en) 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
DE9112761U1 (zh) * 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) * 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
EP0543779A1 (de) 1991-11-20 1993-05-26 Ciba-Geigy Ag Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5240390A (en) * 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
KR100304127B1 (ko) * 1992-07-29 2001-11-30 이노마다 시게오 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
US5589224A (en) 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5294261A (en) 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5328722A (en) 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
JP2548062B2 (ja) * 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
KR100251873B1 (ko) * 1993-01-21 2000-04-15 마쓰바 구니유키 종형 열처리 장치
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5433334A (en) * 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5377705A (en) 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
JPH0846013A (ja) * 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
JP3486462B2 (ja) * 1994-06-07 2004-01-13 東京エレクトロン株式会社 減圧・常圧処理装置
EP0791093B1 (en) * 1994-11-09 2001-04-11 R.R. STREET & CO., INC. Method and system for rejuvenating pressurized fluid solvents used in cleaning substrates
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
DE4443778A1 (de) * 1994-12-08 1996-06-20 Abel Gmbh & Co Doppelmembranpumpe
US5556497A (en) * 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JP3457758B2 (ja) * 1995-02-07 2003-10-20 シャープ株式会社 超臨界流体を利用した洗浄装置
US5981399A (en) * 1995-02-15 1999-11-09 Hitachi, Ltd. Method and apparatus for fabricating semiconductor devices
US5644855A (en) * 1995-04-06 1997-07-08 Air Products And Chemicals, Inc. Cryogenically purged mini environment
JPH08306632A (ja) * 1995-04-27 1996-11-22 Shin Etsu Handotai Co Ltd 気相エピタキシャル成長装置
US6097015A (en) * 1995-05-22 2000-08-01 Healthbridge, Inc. Microwave pressure vessel and method of sterilization
JP3983831B2 (ja) * 1995-05-30 2007-09-26 シグマメルテック株式会社 基板ベーキング装置及び基板ベーキング方法
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US6454945B1 (en) * 1995-06-16 2002-09-24 University Of Washington Microfabricated devices and methods
JP2676334B2 (ja) * 1995-07-31 1997-11-12 住友重機械工業株式会社 ロボットアーム
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
US6062853A (en) * 1996-02-29 2000-05-16 Tokyo Electron Limited Heat-treating boat for semiconductor wafers
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
DK9600149U3 (da) * 1996-05-01 1997-09-12 Moerch & Soenner A S Dækselaggregat
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
JP3176294B2 (ja) * 1996-08-26 2001-06-11 日本電気株式会社 半導体ウェーハ用キャリア
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
JPH10144757A (ja) * 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd 基板処理システム
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
JP4246804B2 (ja) * 1997-03-26 2009-04-02 株式会社神戸製鋼所 加熱・加圧処理装置
JPH10288158A (ja) * 1997-04-10 1998-10-27 Kobe Steel Ltd ピストン式ガス圧縮機及びガス圧縮設備
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5975492A (en) * 1997-07-14 1999-11-02 Brenes; Arthur Bellows driver slot valve
JP3194036B2 (ja) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 乾燥処理装置及び乾燥処理方法
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6284360B1 (en) * 1997-09-30 2001-09-04 3M Innovative Properties Company Sealant composition, article including same, and method of using same
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
JPH11200035A (ja) * 1998-01-19 1999-07-27 Anelva Corp スパッタ化学蒸着複合装置
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
US5934991A (en) * 1998-02-01 1999-08-10 Fortrend Engineering Corporation Pod loader interface improved clean air system
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6453924B1 (en) * 2000-07-24 2002-09-24 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
SG81975A1 (en) * 1998-04-14 2001-07-24 Kaijo Kk Method and apparatus for drying washed objects
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6085935A (en) * 1998-08-10 2000-07-11 Alliance Laundry Systems Llc Pressure vessel door operating apparatus
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
JP2000106358A (ja) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp 半導体製造装置および半導体基板の処理方法
US6110232A (en) * 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6128830A (en) * 1999-05-15 2000-10-10 Dean Bettcher Apparatus and method for drying solid articles
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6251250B1 (en) * 1999-09-03 2001-06-26 Arthur Keigler Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
EP1277233A2 (en) * 2000-04-25 2003-01-22 Tokyo Electron Corporation Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6921456B2 (en) * 2000-07-26 2005-07-26 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
IL154749A0 (en) * 2000-09-07 2003-10-31 Cmb Ind Short-length reduced-pressure backflow preventor
US6388317B1 (en) * 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
US6418956B1 (en) * 2000-11-15 2002-07-16 Plast-O-Matic Valves, Inc. Pressure controller
US6561220B2 (en) * 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6564826B2 (en) * 2001-07-24 2003-05-20 Der-Fan Shen Flow regulator for water pump
US6561767B2 (en) * 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6561481B1 (en) * 2001-08-13 2003-05-13 Filonczuk Michael A Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement

Also Published As

Publication number Publication date
US20030150559A1 (en) 2003-08-14
US7060422B2 (en) 2006-06-13
CN1399790A (zh) 2003-02-26
EP1243021A2 (en) 2002-09-25
JP2003518736A (ja) 2003-06-10
WO2001046999A3 (en) 2002-07-11
AU3267201A (en) 2001-05-14
CN1175470C (zh) 2004-11-10
US20030136514A1 (en) 2003-07-24
WO2001046999A2 (en) 2001-06-28
CA2387341A1 (en) 2001-05-10
JP2003513466A (ja) 2003-04-08
CN1387673A (zh) 2002-12-25
KR20020047315A (ko) 2002-06-21
US6926798B2 (en) 2005-08-09
AU4902201A (en) 2001-07-03
JP4621400B2 (ja) 2011-01-26
KR20020047314A (ko) 2002-06-21
CA2387373A1 (en) 2001-06-28
KR100744888B1 (ko) 2007-08-01
WO2001033615A2 (en) 2001-05-10
CN1192417C (zh) 2005-03-09
WO2001033615A3 (en) 2001-12-06
JP5073902B2 (ja) 2012-11-14
KR100742473B1 (ko) 2007-07-25
EP1234322A2 (en) 2002-08-28

Similar Documents

Publication Publication Date Title
TW484169B (en) Method and apparatus for supercritical processing of a workpiece
US6748960B1 (en) Apparatus for supercritical processing of multiple workpieces
JP4845463B2 (ja) 基板処理装置
KR101377194B1 (ko) 기판 처리 장치
JPH05508737A (ja) 工作物を洗浄し乾燥する方法
KR100935990B1 (ko) 기판처리장치
JP2002009035A (ja) 基板洗浄方法及び基板洗浄装置
JP2003282524A (ja) 高圧乾燥装置、高圧乾燥方法および基板処理装置
TW548698B (en) Wafer drying apparatus
JP2000114233A (ja) 半導体湿式エッチング装置
KR100505693B1 (ko) 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
TW550694B (en) Wafer drying method
US11256180B2 (en) Processing apparatus and method thereof
US20030164181A1 (en) Substrate processing apparatus
JP6831889B2 (ja) 基板処理装置および基板処理方法
JP3910757B2 (ja) 処理装置及び処理方法
JP2010044233A (ja) 塗布、現像方法及び塗布、現像装置。
JP4318930B2 (ja) 基板処理方法
JP2004172231A (ja) 基板処理方法、基板処理装置および基板処理システム
JPS5848935A (ja) 自動プラズマ処理装置
JP2005044974A (ja) 基板処理装置および基板処理方法
KR20060006136A (ko) 포토리소그라피 공정에 사용되는 그리퍼

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent