JP4621400B2 - 半導体基板処理装置 - Google Patents

半導体基板処理装置 Download PDF

Info

Publication number
JP4621400B2
JP4621400B2 JP2001547635A JP2001547635A JP4621400B2 JP 4621400 B2 JP4621400 B2 JP 4621400B2 JP 2001547635 A JP2001547635 A JP 2001547635A JP 2001547635 A JP2001547635 A JP 2001547635A JP 4621400 B2 JP4621400 B2 JP 4621400B2
Authority
JP
Japan
Prior art keywords
supercritical
module
photoresist
transfer module
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001547635A
Other languages
English (en)
Other versions
JP2003518736A (ja
Inventor
エー. ビバーガー,マキシミリアン
ポール レイマン,フレデリク
ロバート ストン,トーマス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2003518736A publication Critical patent/JP2003518736A/ja
Application granted granted Critical
Publication of JP4621400B2 publication Critical patent/JP4621400B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Description

【0001】
本発明の技術分野
本発明は超臨界式プロセスに関する。より詳しくは、本発明は、製作品が超臨界雰囲気で処理され、かつ製作品が未超臨界雰囲気で処理されるところの超臨界式プロセスに関する。
【0002】
本発明の背景
半導体製造は、イオン注入とエッチングと他のプロセス段階においてフォトレジストを使用する。イオン注入段階において、フォトレジストはドーパントで注入されない半導体基盤の部分をマスクする。エッチング段階において、フォトレジストはエッチングしない半導体基盤の部分をマスクする。他のプロセス段階の例において、フォトレジストが、処理されたウェーハの保護用コーティングのブランケットとして、あるいはMEMS(マイクロエレクトロ−メカニカルシステム)ディバイスの保護用コーティングのブランケットとして使用されている。イオン注入に続いて、フォトレジストがゼリー状コアーを覆う固い外部クラスタを提している。固い外部クラスタはフォトレジストの除去を困難にしている。エッチング段階に続いて、残存フォトレジストは固い特性を提していて、フォトレジスト除去を困難にしている。エッチング段階に続いて、残留物(エッチング残留物と混合したフォトレジスト残留物)がエッチング形状の側面を被覆している。エッチング段階のタイプ及びエッチングされる材料により、エッチング残留物と混合したフォトレジスト残留物は除去問題を引き起こしている。というのはエッチング残留物と混合したフォトレジスト残留物は、しばしばエッチング形状の側面に強固に附着しているからである。
【0003】
従来技術において、通常フォトレジスト及び残留物は、O2 プラズマにおけるプラズマ灰化により除去され、続いて湿式洗浄槽内で洗浄される。従来技術における半導体エッチング及びメタライゼイションプロセスが図1におけるブロック図に示されている。半導体エッチング及びメタライゼイションプロセス10は、フォトレジスト塗布段階12、フォトレジスト露光段階14、フォトレジスト現像段階16、誘電エッチング段階18、灰化段階20、湿式洗浄段階20及びメタル蒸着段階24を含んでいる。
【0004】
フォトレジストがポジフォトレジストか又はネガフォトレジストかによって、露光したフォトレジストあるいは露光しないフォトレジストのどちらかが、それぞれ、フォトレジスト現像段階16において酸化層上に露光パターンを残して除去される。誘電エッチング段階18において、酸化層上の露光パターンが、好ましくはRIE(活性イオンエッチング(reactiue ion etch))プロセスにおいてエッチングされていて、そのRIEプロセスは露光パターンを酸化層にエッチングし、一方でフォトレジストを部分的にエッチングもする。このことが、エッチング形状の側壁面を覆う残留物を発生し、その一方でフォトレジストを固化してもいる。灰化段階20において、O2 プラズマはフォトレジスト及び残留物を酸化し部分的に除去する。湿式洗浄段階22において、残存しているフォトレジストと残留物とが湿式洗浄槽内で洗浄される。
【0005】
メタル蒸着段階24において、メタル層はウェーハの、エッチングパターンのある部分とエッチングされていない部分とに沈積される。次のプロセスにおいて、少なくともエッチングされていない部分におけるメタルは除去され、回路が形成される。
【0006】
Nishikawa 等は、1990年7月31日交付の米国特許第4944837号において、液化ガス又は超臨界ガスを用いて残留物を除去する従来技術による方法を説明している。残留物を有する基盤が圧力容器の中へ置かれ、その圧力容器にも液化ガス又は超臨界ガスが入っている。所定時間経過後液化ガス又は超臨界ガスは、急膨脹され、残留物を除去する。
【0007】
Nishikawa 等は、超臨界CO2 はフォトレジストの現像に使用できることを教示している。フォトレジスト層を有する基盤は、パターンの中で光に露光され、潜像が形成される。フォトレジストと潜像を有する基盤は、超臨界CO2 槽内に30分間置かれる。続いて超臨界CO2 は潜像をそのままにして凝縮される。Nishikawa 等は、超臨界CO2 に重量百分率0.5%のメチルイソブチルケトン(MIBK)を加えるにより、超臨界CO2 の効果が増大し、従って現像時間が30分間から5分間に短縮されることを、さらに教示している。
【0008】
Nishikawa 等は、超臨界CO2 と重量百分率7%のMIBKとを用いることにより、フォトレジストが除去できることも教示している。フォトレジストを有する基盤は超臨界CO2 とMBKとの中に30〜45分間置かれる。超臨界CO2 の凝縮で、フォトレジストが除去されたことになる。
【0009】
Nishikawa 等により教示される方法は、いくつかの理由により、半導体製造ラインには不適切なものである。基盤からフォトレジストを除去するべく、液化ガス又は超臨界ガスを急膨脹することは、基盤破損の可能性をもたらす。30分を要するフォトレジストの現像プロセスは非能率的である。MBKを用いるフォトレジストの現像又は除去は好適なものではない。なぜなら、MBKは有害であって、さらにより適切な選択がない場合にだけ使用されるものだからである。
【0010】
Smith, Jr.等は、1995年1月3日交付の米国特許第5377705号において、製作品からの汚染物質洗浄装置を教示している。汚染物質は有機物質と粒子状物質とイオン化物質とを含んでいる。装置は、加圧可能な洗浄容器と、液体CO2 貯蔵コンテナと、ポンプと、溶剤供給装置と、セパレータと、種々の弁とを含んでいる。ポンプはCO2 ガス及び溶剤を洗浄容器へ移送し、CO2 ガスを超臨界CO2 に加圧する。超臨界CO2 及び溶剤が、製作品から汚染物質を除去する。ポンプが超臨界CO2 及び溶剤を補給する一方で、弁は洗浄容器から多少の超臨界CO2 及び溶剤を排出している。セパレータは、超臨界CO2 から溶剤を分離している。コンデンサはCO2 を液体CO2 に凝縮していて、液体CO2 貯蔵コンテナへ補充している。
【0011】
フォトレジスト及び残留物を除去するために、Smith, Jr.等に教示される装置を使用することには多くの困難がある。加圧可能な洗浄容器は半導体基盤の処理用として適切なものに構成されていない。洗浄中に超臨界CO2 及び溶剤を排出することは非能率的である。そのような装置は、半導体製造ラインへの要求処理能力に容易に適用出来るものではない。そのような装置は安全な半導体基盤の取扱いを実行できるものではなく、そのことは半導体製造ラインにおいて重大なことである。そのような装置は半導体基盤処理において経済的なものではない。
【0012】
必要とされるのは、半導体製造ラインに適用可能な、超臨界二酸化炭素を用いたフォトレジストの現像方法である。
必要とされるのは、半導体製造ラインに適用可能な、超臨界二酸化炭素を用いたフォトレジストの除去方法である。
必要とされるのは、半導体基盤を取扱うために構成された超臨界式プロセス装置である。
必要とされるのは、プロセスチャンバ内で流体流れを発生するために、プロセスチャンバから超臨界CO2 と溶剤とを排出する必要のない超臨界式プロセス装置である。
必要とされるのは、半導体製造ラインにおける要求処理能力を満たす超臨界式プロセス装置である。
必要とされるのは、安全な半導体基盤の取扱いを提供する超臨界式プロセス装置である。
必要とされるのは、経済的な半導体基盤プロセスを提供する超臨界式プロセス装置である。
必要とされるのは、エッチングプロセスと超臨界式プロセスとを組合せた装置である。
必要とされるのは、蒸着プロセスと超臨界式プロセスとを組合せた装置である。
必要とされるのは、超臨界式プロセスと未超臨界式プロセスとを組合せた装置である。
【0013】
概要
本発明は、製作品の超臨界式プロセスと未超臨界式プロセスのための装置である。装置は、トランスファモジュール、超臨界式プロセスモジュール、未超臨界式プロセスモジュール及びロボットを含んでいる。トランスファモジュールは入口を含んでいる。超臨界式プロセスモジュールと未超臨界式プロセスモジュールとはトランスファモジュールへ連結されている。ロボットは、好ましくはトランスファモジュール内に配置されている。操作において、ロボットが製作品をトランスファモジュールの入口から超臨界式プロセスモジュールへ移動する。超臨界式プロセス後に、ロボットが製作品を超臨界式プロセスモジュールから未超臨界式プロセスモジュールへ移動する。未超臨界式プロセス後に、ロボットは製作品をトランスファモジュールの入口へもどす。代りに、未超臨界式プロセスが超臨界式プロセスの前に実行される。
【0014】
好適な実施の形態の詳細な説明
本発明における半導体のエッチングプロセス及びメタライゼーションプロセスを、ブロック図として図2に示す。半導体のエッチングプロセス及びメタライゼーションプロセス30が、フォトレジスト塗布段階32と、フォトレジスト露光段階34と、フォトレジスト現像段階36と、誘電エッチング段階38と、超臨界式除去段階40と、メタル蒸着段階42とを含んでいる。フォトレジスト塗布段階32において、フォトレジストが露光された酸化層を有しているウェーハに塗布される。フォトレジスト露光段階34においてフォトレジストはマスクで部分的にブロックされた光に露光される。
【0015】
フォトレジストがポジフォトレジスト又はネガフォトレジストかによって、露光したフォトレジストあるいは露光しないフォトレジストのどちらかが、それぞれ、フォトレジスト現像段階36において酸化層上に露光パターンを残して除去される。誘電エッチング段階38において、酸化層上の露光パターンが、好ましくはRIE(活性イオンエッチング(reactiue ion etch))プロセスにおいてエッチングされていて、そのRIEプロセスは露光パターンを酸化層にエッチングし、一方でフォトレジストを部分的にエッチングもする。このことが、エッチング形状の側壁面を覆う残留物を発生し、その一方でフォトレジストを固化してもいる。
【0016】
超臨界式除去段階40において、超臨界二酸化炭素及び溶剤がフォトレジスト及び残留物を除去するために使用される。メタル蒸着段階42において、メタル層が、エッチングされたパターンを埋めかつエッチングされていない部分を覆って、ウェーハ上に沈積する。続くプロセスにおいて、少なくともエッチングされていない部分を覆っているメタル部分が、回路を形成するために除去される。
【0017】
本発明における超臨界式除去プロセス40をブロック図として図3に示す。超臨界式除去プロセス40は第一プロセス段階52において、ウェーハ上にフォトレジスト及び残留物を有するウェーハを圧力チャンバ内に置いて、かつ圧力チャンバをシールすることにより開始される。第二プロセス段階54において、圧力チャンバは二酸化炭素により、二酸化炭素が超臨界二酸化炭素(SCCO2 )になるまで加圧される。第三プロセス段階56において、超臨界二酸化炭素が溶剤をプロセスチャンバ内へ送り込む。第四プロセス段階58において、超臨界二酸化炭素及び溶剤は、フォトレジストと残留物とがウェーハから除去されるまで、ウェーハへの接触を維持する。第四プロセス段階58において、溶剤は少なくともフォトレジスト及び残留物を部分的に溶解する。第五プロセス段階60において、圧力チャンバは部分的に排気される。第六プロセス段階62において、ウェーハが洗浄される。第七プロセス段階64において、臨界式除去プロセス40は、圧力チャンバを減圧し、ウェーハを取り出して終了となる。
【0018】
誘電エッチング段階38、超臨界式除去段階40及びメタル蒸着段階42が、好ましくは本発明における好適な半導体プロセス装置による半導体製造ラインにおいて実行されていて、図4に示されている。好適な半導体製造装置70は、トランスファモジュール72、エッチングモジュール74、超臨界式プロセスモジュール76、副室77、副室用ロボット79、蒸着モジュール78、トランスファモジュールロボット80及び制御用エレクトロニクス82を含んでいる。トランスファモジュール72は、第一〜第三プロセスポート84〜86とトランスファモジュール入口90とを含んでいる。トランスファモジュール入口90は、第一及び第二ハンドオフステーション92及び94と、第一及び第二入口ポート96及び98とを含んでいる。
【0019】
エッチングモジュール74、副室77を介しての超臨界式プロセスモジュール76及び蒸着モジュール78が、好ましくはそれぞれ第一〜第三ポート84〜86を介してトランスファモジュール70へ連結している。好ましくは、トランスファモジュールロボット80は、トランスファモジュール72の中心においてトランスファモジュール72へ連結している。第一及び第二ハンドオフステーション92及び94は、それぞれ第一及び第二入口ポート96及び98を介してトランスファモジュールへ連結している。好ましくは第一及び第二ハンドオフステーション92及び94が、それぞれ第一及び第二ロードロックを備えている。制御用エレクトロニクス82はトランスファモジュール72へ接続している。
【0020】
好ましくは、トランスファモジュール72が低真空度から高真空度において運転される。好ましくは、エッチングモジュール74はRIE(活性イオンエッチング(reactiue ion etch))モジュールである。RIEモジュールは、好ましくは高真空度において運転される。好ましくは、蒸着モジュール78はPVD(物理的蒸着(physical vapor deposition))モジュールである。PVDモジュールは、好ましくは高真空度あるいは超高真空度において運転される。
【0021】
RIEモジュールをプラズマエッチングモジュールのような代りのエッチングモジュールに置きかえてもよいことは、当業者において容易に理解されるであろう。さらに、PVDモジュールをCVD(化学的蒸着(chemical vapor diposition))のような代りの蒸着モジュールに置きかえてもよいことは、当業者において容易に理解されるであろう。さらに好適な半導体プロセス装置70が、エッチングモジュール74及び超臨界式プロセスモジュール76とにより、又は超臨界式プロセスモジュール76と蒸着モジュール78とにより形成されていてもよいことは、当業者において容易に理解されるであろう。
【0022】
トランスファモジュール80が、好ましくはロボットベース100、ロボットアーム102及びエンドエフェクタ104を含んでいる。ロボットベースはトランスファモジュール72へ連結されている。ロボットアーム102は、好ましくは二節式ロボットアームであって、エンドエフェクタ104をロボットベース100へ連結している。エンドエフェクタ104は製作品を取り上げ置くべく形成されている。好ましくは、エンドエフェクタ104はウェーハを取り上げ置くべく形成されている。代りに、エンドエフェクタ104はパック又は他の基盤を取り上げ置くべく形成されている。代りに、二重式アームロボットがトランスファモジュールロボット80と置き換えられ、二重式アームロボットは二つのアームと二つのエンドエフェクタを含んでいる。
【0023】
超臨界式プロセスモジュール76が、好ましくは第一ゲート弁106を含んでいる。第一ゲート弁106は製作品キャビティ112を副室77へ連結している。副室77が、好ましくは第二ゲート弁108を含んでいる。第二ゲート弁108は副室77をトランスファモジュール72へ連結している。
【0024】
運転において、好ましくはトランスファモジュールロボット80が製作品118を第一ハンドオフステーション92からエッチングモジュール74へ移動していて、誘電エッチング段階38が実行される。続いて、トランスファモジュールロボット80はウェーハ118をエッチングモジュール74から超臨界式プロセスモジュール76における副室77へ移動する。第二ゲート弁108が続いて閉となり、さらに副室77は好ましくは二酸化炭素により加圧される。続いて、副室ロボット79は製作品118を副室77から超臨界式プロセスモジュール76へ移動し、超臨界式除去プロセス40が実行される。続いて、製作品が副室ロボット79により超臨界式プロセスモジュール76から副室77へ移動される。続いて、副室が真空ポンプ(図示されていない)により真空引きされる。好ましくは、真空ポンプはターボポンプである。続いて、第二ゲート弁108が開かれ、トランスファモジュールロボット80が製作品118を超臨界式プロセスモジュール76から蒸着モジュール78へ移動し、メタル蒸着段階42が実行される。続いて、トランスファモジュールロボット80が製作品118をメタル蒸着モジュール78から第二ハンドオフステーション94へ移動する。
【0025】
好ましくは、製作品はウェーハである。好ましくはウェーハは、トランスファモジュールロボット80がウェーハをエッチングモジュール74へ移動する以前に、第一ハンドオフステーション92において他のウェーハと共に第一カセットの中にある。多少の他のウェーハがそのウェーハと同時に処理されてもよいことは、当業者において容易に理解されるであろう。例えば、ウェーハが蒸着モジュール78にあり、第二ウェーハが超臨界式モジュール76にあってもよくて、さらに第三ウェーハがエッチングモジュール74にあってもよい。
【0026】
好ましくは、ウェーハはメタル蒸着段階に引き続いて、トランスファモジュールロボット80により第二ハンドオフステーション94における第二カセットの中に置かれる。代りに、ウェーハが他のウェーハと共に第一ハンドオフステーション92における第一カセット内で操作を開始しかつ終了する。一方で、ウェーハの第二群が、第二ハンドオフステーション94における第二カセット内で操作を開始しかつ終了する。
【0027】
第二ハンドオフステーション94が省かれてもよいこと、あるいは追加のハンドオフステーションが好適な半導体プロセス装置70に対し付加されてもよいことは、当業者において容易に理解されるであろう。さらにトランスファモジュールロボット80が製作品118を移動するべく形成されたトランスファ機構により置きかえられてもよいことは、当業者において容易に理解されるであろう。さらに、第一及び第二カセットが、標準の機械式インターフェースを使用している前方開口式ユニファイポッドであって、ウェーハが周囲の環境から隔離されたクリーンな環境に保持されてもよいことは、当業者において容易に理解されるであろう。
【0028】
本発明における超臨界式プロセスモジュール76を図5に示す。超臨界式プロセスモジュール76は、二酸化炭素供給容器132、二酸化炭素ポンプ134、圧力チャンバ136、薬品供給容器138、循環ポンプ140及び排ガス収集容器144を含んでいる。二酸化炭素供給容器132は、二酸化炭素ポンプ134と二酸化炭素配管146とを介して圧力容器136に接続している。二酸化炭素配管146は、二酸化炭素ポンプ134と圧力チャンバ136との間に配置された二酸化炭素ヒータ148を含んでいる。圧力チャンバ136は圧力チャンバヒータ150を含んでいる。循環ポンプ140は、入口154と出口156とにおいて圧力チャンバ136へ接続している循環ライン152に取りつけられている。薬品供給容器138は、第一インジェクションポンプ159を含んでいる薬品供給ライン158を介して、循環ライン152へ接続している。洗剤供給容器160が、第二インジェクションポンプ163を含んでいる洗剤供給ライン162を介して循環ライン152へ接続している。排ガス収集容器144は排ガス配管164を介して圧力チャンバ136へ接続している。
【0029】
二酸化炭素供給容器132、二酸化炭素ポンプ134及び二酸化炭素ヒータ148が、二酸化炭素供給装置149を構成している。薬品供給容器138、第一インジェクションポンプ159、洗剤供給容器160及び第二インジェクションポンプ163が薬品及び洗剤供給装置165を構成している。
【0030】
超臨界式プロセスモジュール76が、弁、制御用エレクトロニクス、フィルタ及び通常の超臨界流体装置において一般的であるユティリティ設備を含んでいることは、当業者において容易に理解されるであろう。
【0031】
図3,4及び5において、超臨界式除去方法40は第一プロセス段階52で始まり、フォトレジスト若しくは残留物(又は、フォトレジスト及び残留物)を有するウェーハが、副室ロボット79により圧力チャンバ136のウェーハキャビティ112の中へ挿入され、続いて圧力チャンバ136はゲート弁106を閉とすることによりシールされる。第二プロセス段階54において圧力チャンバ136は、二酸化炭素ポンプ134により二酸化炭素供給容器132からの二酸化炭素を用いて加圧される。第二プロセス段階54の間、二酸化炭素は二酸化炭素ヒータ148により加熱され、圧力チャンバ136は圧力チャンバヒータ150により加熱されていて、圧力チャンバ136における二酸化炭素の温度は臨界温度以上であることを確実にしている。二酸化炭素の臨界温度は31℃である。好ましくは、圧力チャンバ136における二酸化炭素の温度は45℃〜75℃の範囲である。代りに、圧力チャンバ136における二酸化炭素の温度が31℃から約100℃の範囲に保たれてもよい。
【0032】
初期超臨界状態とするために、第一インジェクションポンプ159が溶剤を薬品供給容器138から圧力チャンバ136の中へ循環ライン152を介して送り込み、さらに第三プロセス56において二酸化炭素ポンプが超臨界二酸化炭素を加圧する。溶剤の注入初期において、圧力チャンバ136における圧力は、好ましくは約7.58〜8.27MPa(約1,100〜1,200psi)である。所定量の溶剤が圧力チャンバ136の中へ送り込まれ、所望する超臨界状態が達成されると、二酸化炭素ポンプ134は圧力チャンバ136の加圧を停止し、第一インジェクションポンプ159は溶剤の圧力チャンバ136への送り込みを停止し、さらに第四プロセス段階58において循環ポンプ140が超臨界二酸化炭素と溶剤との循環を開始する。好ましくは、この時点における圧力は約18.62〜19.31MPa (約2,700〜2,800psi.)である超臨界二酸化炭素と溶剤との循環により、超臨界二酸化炭素は溶剤のウェーハへの接触を維持する。さらに、超臨界二酸化炭素と溶剤との循環により、流体流れがウェーハからのフォトレジストと残留物との除去を強化している。
【0033】
好ましくは、ウェーハは第四プロセス段階58を通して圧力チャンバ136の中へ静止して保持されている。代りに、ウェーハが第四プロセス段階58を通して圧力チャンバ136内部に吊り下げられてもよい。
【0034】
フォトレジスト及び残留物がウェーハから除去された後第五プロセス段階において、圧力チャンバ136は、超臨界二酸化炭素と、溶剤と、除去されたフォトレジストと、除去された残留物との一部を排ガス収集タンク144へ排気することとにより部分的に減圧され、圧力チャンバ136の状態を初期超臨界状態近傍に復帰する。好ましくは、圧力チャンバ136における圧力は、この時点において圧力を上昇し続いて再度圧力チャンバ136を部分的に排気することにより、少なくとも一回の繰返しが行なわれる。このことが圧力チャンバ136における清浄度を高めている。第五プロセス段階60において、圧力チャンバは、好ましくは臨界温度を上廻り、臨界圧力を上廻る状態に保持されている。二酸化炭素の臨界圧力は約7.38MPa(約1,070psi)である。
【0035】
第六プロセス段階62において、第二噴射ポンプ163が洗剤を洗剤供給容器160から循環ラインを介して圧力チャンバ136へ送り込んでいて、二酸化炭素ポンプ134は圧力チャンバ136を所望する超臨界状態近傍に加圧しており、さらに循環ポンプ140が超臨界二酸化炭素及び洗剤を循環しウェーハを洗浄している。好ましくは、洗剤は水、アルコール、アセトン及びそれらの混合体で構成される一群から選択されている。より好ましくは、洗剤はアルコールと水との混合体である。好ましくは、アルコールはイソプロピルアルコール、エタノール及び他の低分子量アルコールで構成される一群から選択されている。より好ましくは、アルコールはイソプロピルアルコールとエタノールとで構成されている一群から選択されている。最も好ましくは、アルコールはエタノールである。
【0036】
好ましくは、ウェーハが第六プロセス段階62を通して圧力チャンバ136の中に静止して保持されている。代りに、ウェーハが第六プロセス段階62を通して圧力チャンバ136の中に吊り下げられていてもよい。
【0037】
第七プロセス段階64において、圧力チャンバ136が圧力チャンバ136から排ガス収集容器144へ排気することにより減圧され、ゲート弁106が開かれ、ウェーハが副室ロボット77により圧力チャンバ136から取り出される。
【0038】
本発明における代りの超臨界式除去プロセスが以下の特許書面に教示されていてここに参考として提示するもので:2000年10月25日付出願の米国特許出願第09/697227号(2000年11月1日付特許出願のPCT/US00/30218で、2001年5月10日付国際公開第01/33613号(WO1/33613))と;1998年5月27日付出願の米国特許出願第09/085391号(2001年10月23日付公付の米国特許第6306564号)と;1997年5月27日付出願の米国特許仮出願第60/047739号(米国特許第6306564号として優先権のある)とである。
【0039】
本発明における第一代案の半導体プロセス装置を図6に示す。第一代案の半導体プロセス装置170は、好適な半導体プロセス装置70から副室77と副室ロボット79との両方を取り除いたものである。第一代案の半導体プロセス装置170において、超臨界式プロセスモジュール76は、好ましくは第二プロセスポート85へ直結していて、真空ポンプが超臨界式プロセスモジュール76へ接続している。従って、第一代案の半導体製造装置170において、超臨界式プロセスモジュール76は真空と超臨界状態との間で運転される。
【0040】
本発明における第二代案の半導体プロセス装置を図7に示す。第二代案の半導体プロセス装置220は、好適な半導体プロセス装置70に第三ハンドオフステーション222と第二トランスファモジュール224と第二トランスファモジュールロボット226とを付加したものである。第二代案の半導体プロセス装置220において、第三ハンドオフステーション222がトランスファモジュール72を第二トランスファモジュール224へ連結している。第二トランスファモジュールロボット226は、好ましくは第二トランスファモジュール224に備わっている。エッチングモジュール74及び蒸着モジュール78は、好ましくはトランスファモジュール72へ連結されていて、超臨界式プロセスモジュール76が、好ましくは第二トランスファモジュール224へ連結されている。従って、第二代案の半導体プロセス装置220は、好ましくは超臨界式プロセスモジュール76を、真空下で運転されるエッチングモジュール74及び蒸着モジュール78から隔離している。このような方法で、プロセスにおける洗浄度が高められている。代りに、第二代案の半導体プロセス装置220において、第四ハンドオフステーションがトランスファモジュール72と第二トランスファモジュール224との間に付加されている。
【0041】
本発明における第三代案の半導体プロセス装置を図8に示す。第三代案の半導体プロセス装置200がトランスファモジュール72、超臨界式プロセスモジュール76、トランスファモジュールロボット80及び未臨界式プロセスモジュール202を含んでいる。未臨界式プロセスモジュールは、好ましくは半導体プロセスモジュールである。半導体プロセスモジュールは、好ましくはエッチングモジュールと物理式蒸着モジュールと化学式蒸着モジュールと電気鍍金モジュールと化学機械式プラナライゼイションモジュールとフォトライグラフィモジュールと灰化モジュールとスクラビングモジュールと他の半導体プロセスモジュールとを構成する一群から選択される。
【0042】
本発明における第四代案の半導体プロセス装置において、好適な半導体プロセス装置70におけるトランスファモジュール72は真空状態で運転されずに、第一及び第二ハンドオフステーション92及び94は好ましくはロードロック式ではない。むしろ、トランスファモジュール72は大気圧下あるいは周囲循環より僅かに正圧下において運転されていて、その僅かな正圧は不活性ガス注入装置により発生される。不活性ガス注入装置は、Ar,CO2 又はN2 のような不活性ガスをトランスファモジュール72へ注入する。このことは、もしトランスファモジュールが真空下で運転されない場合でも、トランスファモジュール72内部の清浄なプロセス環境を保証している。
【0043】
本発明における第五代案の半導体プロセス装置は、第四代案の半導体プロセス装置におけるトランスファモジュール72を取り除いたものである。第五代案の半導体プロセス装置において、トランスファモジュールロボット80は簡単なロボットであって、そのロボットは、トランスファモジュール72により提供される効果を利用することなく、製作品を第一及び第二ハンドオフステーション92及び94と、エッチングモジュール74、超臨界式プロセスモジュール76及び蒸着モジュール78との間で移動するべく形成されている。
【0044】
本発明における第六代案の半導体プロセス装置は、好適な半導体プロセス装置70に検査ステーションを付加したものである。第六代案の半導体プロセス装置において、製作品118は、蒸着モジュール78へ移動されるのに先立って、検査ステーションへ運搬される。検査ステーションにおいて、製作品118の検査はフォトレジスト及び残留物が製作品から除去されたことを保証する。好ましくは、検査ステーションは製作品を検査するために分光器を使用している。代りに、検査ステーションは超臨界式プロセスモジュール76に組み込まれてもよい。
【0045】
代りに、第六代案の半導体プロセス装置の運転において、フォトレジストが完全にエッチングされている事が予想され、かつ残留物が付着していないことが予想される場合、製作品118はエッチングモジュール74から直接検査ステーションへ移動される。従って、検査ステーションにおいて、フォトレジストの残留及び残留物が発見されない場合、超臨界式除去プロセス40はスキップされる。
【0046】
本発明における第七代案の半導体プロセス装置は、好適な半導体プロセス装置70に前置きロボットを付加している。第七代案の半導体プロセス装置において、前置きロボットがトランスファモジュール72への入口の外側にあって、第一及び第二カセットは第一及び第二ハンドオフステーション92及び94から離間して配置されている。前置きロボットは、好ましくはウェーハを第一カセットから第一ハンドオフステーションへ移動するべく形成されていて、かつ好ましくはウェーハを第二ハンドオフステーション94から第二カセットへ移動するべく形成されている。
【0047】
本発明における第八代案の半導体プロセス装置を図9に示す。第八代案の半導体プロセス装置210は代りのトランスファモジュール212とロボットトラック214とを備えている。
【0048】
本発明における第九代案の半導体プロセス装置は好適な半導体プロセス装置70にウェーハ方位機構を付加している。ウェーハ方位機構は、ウェーハをフラット、ノッチ又は他の方向指示器により方位決めする。好ましくは、ウェーハは第一ハンドオフステーション92において方位決めされる。代りにウェーハが第二ハンドオフステーション94において方位決めされてもよい。
【0049】
本発明における第一代案の超臨界式プロセスモジュールは、圧力チャンバ136とゲート弁106とを代りの圧力チャンバへ置きかえる。代りの圧力チャンバはチャンバハウジングと油圧駆動式ウェーハプラテンとを備えている。チャンバハウジングは底部において開口している円筒状キャビティを備えている。油圧駆動式ウェーハプラテンは円筒状キャビティの外側でチャンバハウジングをシールするべく形成されている。運転にあたって、ウェーハが油圧駆動式ウェーハプラテンに置かれる。続いて、油圧駆動式プラテンが上向きに移動し、チャンバハウジングをシールする。ウェーハが処理されると、油圧駆動式ウェーハプラテンが下降され、ウェーハが取り出される。
【0050】
本発明における第二代案の超臨界式プロセスモジュールが、ウェーハキャビティ112の周囲においてウェーハキャビティ112へ入るために循環ライン152用の代りの入口を配置し、かつウェーハキャビティ112の頂部中心において代りの出口を配置している。代りの入口は、好ましくは超臨界二酸化炭素をウェーハキャビティ112により画成された平面に注入するべく形成されている。好ましくは、代りの入口は、ウェーハキャビティ112の半径方向に対して角度を有していて、運転において、代りの入口と出口とがウェーハキャビティ112内部において渦を発生する。
【0051】
前述の特許請求の範囲により規定される本発明における精神と範囲とから逸脱することなく、好適な実施の形態に対して種々の修正が行なわれてもよいことは、当業者において容易に理解されるであろう。
【図面の簡単な説明】
【図1】 図1は、従来技術における半導体のエッチングプロセス及びメタライゼーションプロセスのプロセスフローを示すブロック図である。
【図2】 図2は、本発明における半導体のエッチングプロセス及びメタライゼーションプロセスのプロセスフローを示すブロック図である。
【図3】 図3は、本発明における超臨界式除去プロセスを示すブロック図である。
【図4】 図4は、本発明における好適な半導体プロセス装置を示す。
【図5】 図5は、本発明における好適な半導体プロセスモジュールを示す。
【図6】 図6は、本発明における第一代案の半導体プロセス装置を示す。
【図7】 図7は、本発明における第二代案の半導体プロセス装置を示す。
【図8】 図8は、本発明における第三代案の半導体プロセス装置を示す。
【図9】 図9は、本発明における第八代案の半導体プロセス装置を示す。

Claims (4)

  1. 半導体基板処理装置であって、
    a.入口を有するトランスファモジュール(72,212)と;
    b.該トランスファモジュールへ連結され、超臨界流体により基板を処理する超臨界式プロセスモジュール(76)と;
    c.該トランスファモジュールへ連結した超臨界式プロセスモジュール(74,78,202)と;
    d.該トランスファモジュールと該超臨界式プロセスモジュール(76)との間に配置され、その他のプロセスモジュールには接続されない副室(77)と;
    e.該トランスファモジュール内部に配置され、該非超臨界式プロセスモジュールに半導体基板の出し入れを行うトランスファモジュールロボット(80)と;
    f.該副室の内部に配置され、該超臨界式プロセスモジュールに半導体基板の出し入れを行う副室用ロボット(79)と;
    を有し、
    該トランスファモジュールロボット(80)と該副室用ロボット(79)とが半導体基板の受け渡しを行う構成であり、
    該副室(77)には、該超臨界式プロセスモジュール内部に混入しても問題にならないガスを供給する構成としている、ことを特徴とする半導体基板処理装置
  2. 請求項1に記載の半導体基板処理装置であって、該ガスは該超臨界流体と同一成分の気体である、ことを特徴とする半導体基板処理装置。
  3. 請求項1、又は請求項2に記載の半導体基板処理装置であって、該超臨界流体は二酸化炭素である、ことを特徴とする半導体基板処理装置。
  4. 請求項1乃至請求項3に記載の半導体基板処理装置であって、該副室は密閉可能であり、該ガスにより該副室内の圧力を高めることが可能である、ことを特徴とする半導体基板処理装置。
JP2001547635A 1999-11-02 2000-11-01 半導体基板処理装置 Expired - Lifetime JP4621400B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16312199P 1999-11-02 1999-11-02
US60/163,121 1999-11-02
PCT/US2000/041853 WO2001046999A2 (en) 1999-11-02 2000-11-01 Method and apparatus for supercritical processing of a workpiece

Publications (2)

Publication Number Publication Date
JP2003518736A JP2003518736A (ja) 2003-06-10
JP4621400B2 true JP4621400B2 (ja) 2011-01-26

Family

ID=22588579

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001547635A Expired - Lifetime JP4621400B2 (ja) 1999-11-02 2000-11-01 半導体基板処理装置
JP2001535218A Expired - Fee Related JP5073902B2 (ja) 1999-11-02 2000-11-01 多数のワークピースを超臨界処理する方法及び装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2001535218A Expired - Fee Related JP5073902B2 (ja) 1999-11-02 2000-11-01 多数のワークピースを超臨界処理する方法及び装置

Country Status (9)

Country Link
US (2) US7060422B2 (ja)
EP (2) EP1243021A2 (ja)
JP (2) JP4621400B2 (ja)
KR (2) KR100744888B1 (ja)
CN (2) CN1192417C (ja)
AU (2) AU4902201A (ja)
CA (2) CA2387341A1 (ja)
TW (1) TW484169B (ja)
WO (2) WO2001046999A2 (ja)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6497239B2 (en) 1999-08-05 2002-12-24 S. C. Fluids, Inc. Inverted pressure vessel with shielded closure mechanism
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
KR100693691B1 (ko) * 2000-04-25 2007-03-09 동경 엘렉트론 주식회사 금속 필름의 침착방법 및 초임계 건조/세척 모듈을포함하는 금속침착 복합공정장치
US6921456B2 (en) * 2000-07-26 2005-07-26 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
WO2002095800A2 (en) * 2001-05-22 2002-11-28 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US6782900B2 (en) 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
US6706641B2 (en) 2001-09-13 2004-03-16 Micell Technologies, Inc. Spray member and method for using the same
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6763840B2 (en) 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
FR2838422A1 (fr) * 2002-04-11 2003-10-17 Memscap Procede de fabrication de composants microelectromecaniques
AU2003245592A1 (en) * 2002-06-21 2004-01-06 Applied Materials, Inc. Transfer chamber for vacuum processing system
US6722642B1 (en) * 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US6880560B2 (en) 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
JP3861798B2 (ja) * 2002-11-19 2006-12-20 株式会社日立ハイテクサイエンスシステムズ レジスト現像処理装置とその方法
JP2004228526A (ja) * 2003-01-27 2004-08-12 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US20040194886A1 (en) * 2003-04-01 2004-10-07 Deyoung James Microelectronic device manufacturing in coordinated carbon dioxide processing chambers
US7226512B2 (en) * 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
KR100505693B1 (ko) * 2003-06-26 2005-08-03 삼성전자주식회사 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
DE102004029077B4 (de) * 2003-06-26 2010-07-22 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zur Entfernung eines Photoresists von einem Substrat
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US20050181310A1 (en) * 2004-02-17 2005-08-18 Shun-Fa Yang Method for etching metal surface of golf club head
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
CN103199039B (zh) * 2004-06-02 2016-01-13 应用材料公司 电子装置制造室及其形成方法
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060070640A1 (en) * 2004-10-01 2006-04-06 Darko Babic Method and system for injecting chemistry into a supercritical fluid
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US20100108636A1 (en) * 2008-10-30 2010-05-06 Seagate Technology Llc Integrated Tool for Fabricating an Electronic Component
US8596648B2 (en) * 2010-10-22 2013-12-03 Oshkosh Corporation Pump for vehicle suspension system
US20120266810A1 (en) * 2011-04-20 2012-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Planarization system for high wafer topography
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
EP3280676B1 (en) 2016-04-08 2018-11-07 Oshkosh Corporation Leveling system for lift device
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10179941B1 (en) * 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102037918B1 (ko) * 2017-11-28 2019-10-29 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
JP6992625B2 (ja) 2018-03-16 2022-01-13 三菱ケミカル株式会社 コークス製造用粘結材の製造方法
KR102225957B1 (ko) 2018-09-12 2021-03-11 세메스 주식회사 기판 처리 장치
KR102636979B1 (ko) * 2019-04-26 2024-02-14 삼성전자주식회사 멀티 챔버 장치
KR20230092188A (ko) 2021-12-17 2023-06-26 삼성전자주식회사 기판 처리 장치 및 방법

Family Cites Families (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2625886A (en) 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2873597A (en) * 1955-08-08 1959-02-17 Victor T Fahringer Apparatus for sealing a pressure vessel
US3521765A (en) * 1967-10-31 1970-07-28 Western Electric Co Closed-end machine for processing articles in a controlled atmosphere
US3623627A (en) 1969-08-22 1971-11-30 Hunt Co Rodney Door construction for a pressure vessel
US3689025A (en) 1970-07-30 1972-09-05 Elmer P Kiser Air loaded valve
US3744660A (en) 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
FR2128426B1 (ja) 1971-03-02 1980-03-07 Cnen
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
JPS5448172A (en) 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4367140A (en) 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4355937A (en) 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (de) 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf Druckluftgetriebene doppelmembran-pumpe
US4682937A (en) 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (de) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Verfahren zum Entfernen von ablösungsfähigen Materialschichten von beschichteten Gegenständen,
US4522788A (en) 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
US4426358A (en) 1982-04-28 1984-01-17 Johansson Arne I Fail-safe device for a lid of a pressure vessel
DE3238768A1 (de) 1982-10-20 1984-04-26 Kurt Wolf & Co Kg, 7547 Wildbad Kochgefaess aus kochtopf und deckel, insbesondere dampfdruckkochtopf
FR2536433A1 (fr) 1982-11-19 1984-05-25 Privat Michel Procede et installation de nettoyage et decontamination particulaire de vetements, notamment de vetements contamines par des particules radioactives
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (ja) 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
EP0290098B1 (de) 1987-05-07 1990-11-14 Micafil Ag Verfahren und Vorrichtung zum Extrahieren von Oel oder polychloriertem Biphenyl aus imprägnierten elektrischen Teilen mittels eines Lösungsmittels sowie Destillation des Lösungsmittels
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725565A1 (de) 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
DE3887681T2 (de) 1987-11-27 1994-05-11 Battelle Memorial Institute Überkritische mizellentrennung in der flüssig-umkehrphase.
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US4823976A (en) 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
JPH02209729A (ja) * 1989-02-09 1990-08-21 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び異物除去装置
EP0409972B1 (en) 1989-02-16 1992-10-21 PAWLISZYN, Janusz B. Apparatus and method for delivering supercritical fluid
US4879431A (en) 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5213485A (en) 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
US5062770A (en) 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4983223A (en) 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) * 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
WO1991012629A1 (en) * 1990-02-16 1991-08-22 Edward Bok Improved installation for wafer transfer and processing
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5217043A (en) 1990-04-19 1993-06-08 Milic Novakovic Control valve
EP0456426B1 (en) 1990-05-07 2004-09-15 Canon Kabushiki Kaisha Vacuum type wafer holder
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (de) 1990-06-08 1991-12-12 Ott Kg Lewa Membran fuer eine hydraulisch angetriebene membranpumpe
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (de) 1990-10-08 1992-04-09 Dirk Dipl Ing Budde Doppel-membranpumpe
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
CA2059841A1 (en) 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
US5185058A (en) 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
CH684402A5 (de) 1991-03-04 1994-09-15 Xorella Ag Wettingen Vorrichtung zum Verschieben und Schwenken eines Behälter-Verschlusses.
EP0514337B1 (de) 1991-05-17 1995-11-22 Ciba-Geigy Ag Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2
US5195878A (en) 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5243821A (en) 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5251776A (en) 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
JP3040212B2 (ja) 1991-09-05 2000-05-15 株式会社東芝 気相成長装置
GB2259525B (en) 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
DE9112761U1 (ja) 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
EP0543779A1 (de) 1991-11-20 1993-05-26 Ciba-Geigy Ag Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2
US5190373A (en) 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5240390A (en) 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
KR100304127B1 (ko) * 1992-07-29 2001-11-30 이노마다 시게오 가반식 밀폐 컨테이너를 사용한 전자기판 처리시스템과 그의 장치
US5746008A (en) * 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
US5589224A (en) 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5294261A (en) 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5328722A (en) 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
JP2548062B2 (ja) * 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
US5447294A (en) * 1993-01-21 1995-09-05 Tokyo Electron Limited Vertical type heat treatment system
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5433334A (en) * 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5377705A (en) 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
JPH0846013A (ja) * 1994-05-23 1996-02-16 Tokyo Electron Ltd マルチチャンバ処理システム用搬送装置
JP3486462B2 (ja) * 1994-06-07 2004-01-13 東京エレクトロン株式会社 減圧・常圧処理装置
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
WO1996015304A1 (en) * 1994-11-09 1996-05-23 R.R. Street & Co. Inc. Method and system for rejuvenating pressurized fluid solvents used in cleaning substrates
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
DE4443778A1 (de) * 1994-12-08 1996-06-20 Abel Gmbh & Co Doppelmembranpumpe
US5556497A (en) * 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JP3457758B2 (ja) * 1995-02-07 2003-10-20 シャープ株式会社 超臨界流体を利用した洗浄装置
US5981399A (en) * 1995-02-15 1999-11-09 Hitachi, Ltd. Method and apparatus for fabricating semiconductor devices
US5644855A (en) * 1995-04-06 1997-07-08 Air Products And Chemicals, Inc. Cryogenically purged mini environment
JPH08306632A (ja) * 1995-04-27 1996-11-22 Shin Etsu Handotai Co Ltd 気相エピタキシャル成長装置
US6097015A (en) * 1995-05-22 2000-08-01 Healthbridge, Inc. Microwave pressure vessel and method of sterilization
JP3983831B2 (ja) * 1995-05-30 2007-09-26 シグマメルテック株式会社 基板ベーキング装置及び基板ベーキング方法
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US6454945B1 (en) * 1995-06-16 2002-09-24 University Of Washington Microfabricated devices and methods
JP2676334B2 (ja) * 1995-07-31 1997-11-12 住友重機械工業株式会社 ロボットアーム
US6239038B1 (en) * 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
KR19990077350A (ko) * 1996-02-29 1999-10-25 히가시 데쓰로 반도체웨이퍼의 열처리용 보트
JP3955340B2 (ja) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 高温高圧ガス処理装置
DK9600149U3 (da) * 1996-05-01 1997-09-12 Moerch & Soenner A S Dækselaggregat
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
JP3176294B2 (ja) * 1996-08-26 2001-06-11 日本電気株式会社 半導体ウェーハ用キャリア
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
JPH10144757A (ja) * 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd 基板処理システム
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JP3437734B2 (ja) * 1997-02-26 2003-08-18 富士通株式会社 製造装置
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10261687A (ja) * 1997-03-18 1998-09-29 Furontetsuku:Kk 半導体等製造装置
JP4246804B2 (ja) * 1997-03-26 2009-04-02 株式会社神戸製鋼所 加熱・加圧処理装置
JPH10288158A (ja) * 1997-04-10 1998-10-27 Kobe Steel Ltd ピストン式ガス圧縮機及びガス圧縮設備
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
TW524873B (en) * 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5975492A (en) * 1997-07-14 1999-11-02 Brenes; Arthur Bellows driver slot valve
JP3194036B2 (ja) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 乾燥処理装置及び乾燥処理方法
US6056008A (en) * 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6284360B1 (en) * 1997-09-30 2001-09-04 3M Innovative Properties Company Sealant composition, article including same, and method of using same
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US5904737A (en) * 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
JPH11200035A (ja) * 1998-01-19 1999-07-27 Anelva Corp スパッタ化学蒸着複合装置
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
US5934991A (en) * 1998-02-01 1999-08-10 Fortrend Engineering Corporation Pod loader interface improved clean air system
US6067728A (en) * 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6453924B1 (en) * 2000-07-24 2002-09-24 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
SG81975A1 (en) * 1998-04-14 2001-07-24 Kaijo Kk Method and apparatus for drying washed objects
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6085935A (en) * 1998-08-10 2000-07-11 Alliance Laundry Systems Llc Pressure vessel door operating apparatus
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
JP2000106358A (ja) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp 半導体製造装置および半導体基板の処理方法
US6110232A (en) * 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6241825B1 (en) * 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
US6128830A (en) * 1999-05-15 2000-10-10 Dean Bettcher Apparatus and method for drying solid articles
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6251250B1 (en) * 1999-09-03 2001-06-26 Arthur Keigler Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6286231B1 (en) * 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6558475B1 (en) * 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
KR100693691B1 (ko) * 2000-04-25 2007-03-09 동경 엘렉트론 주식회사 금속 필름의 침착방법 및 초임계 건조/세척 모듈을포함하는 금속침착 복합공정장치
US6921456B2 (en) * 2000-07-26 2005-07-26 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
NZ524685A (en) * 2000-09-07 2004-10-29 Cmb Ind Short-length reduced-pressure backflow preventor
US6388317B1 (en) * 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
US6418956B1 (en) * 2000-11-15 2002-07-16 Plast-O-Matic Valves, Inc. Pressure controller
US6561220B2 (en) * 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6564826B2 (en) * 2001-07-24 2003-05-20 Der-Fan Shen Flow regulator for water pump
US6561767B2 (en) * 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6561481B1 (en) * 2001-08-13 2003-05-13 Filonczuk Michael A Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
US6550484B1 (en) * 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement

Also Published As

Publication number Publication date
WO2001046999A2 (en) 2001-06-28
CN1192417C (zh) 2005-03-09
US20030136514A1 (en) 2003-07-24
KR100742473B1 (ko) 2007-07-25
JP2003513466A (ja) 2003-04-08
US7060422B2 (en) 2006-06-13
US20030150559A1 (en) 2003-08-14
JP2003518736A (ja) 2003-06-10
CN1399790A (zh) 2003-02-26
WO2001046999A3 (en) 2002-07-11
CN1387673A (zh) 2002-12-25
CA2387373A1 (en) 2001-06-28
EP1234322A2 (en) 2002-08-28
WO2001033615A3 (en) 2001-12-06
KR20020047315A (ko) 2002-06-21
AU4902201A (en) 2001-07-03
KR20020047314A (ko) 2002-06-21
CA2387341A1 (en) 2001-05-10
AU3267201A (en) 2001-05-14
KR100744888B1 (ko) 2007-08-01
EP1243021A2 (en) 2002-09-25
CN1175470C (zh) 2004-11-10
US6926798B2 (en) 2005-08-09
JP5073902B2 (ja) 2012-11-14
WO2001033615A2 (en) 2001-05-10
TW484169B (en) 2002-04-21

Similar Documents

Publication Publication Date Title
JP4621400B2 (ja) 半導体基板処理装置
US6748960B1 (en) Apparatus for supercritical processing of multiple workpieces
US6763840B2 (en) Method and apparatus for cleaning substrates using liquid carbon dioxide
US7226512B2 (en) Load lock system for supercritical fluid cleaning
KR100441637B1 (ko) 일체화된반도체웨이퍼처리시스템
JPH0817165B2 (ja) 工作物を洗浄し乾燥する方法
TW201021101A (en) Method and apparatus for cleaning semiconductor device fabrication equipment using supercritical fluids
US7107999B2 (en) Substrate processing apparatus for removing organic matter by removal liquid
KR100505693B1 (ko) 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
JP2023105681A (ja) 基板処理方法及びイオン液体
CN111048401B (zh) 基板清洁组合物、基板清洁方法和基板处理装置
US20210050210A1 (en) Method and apparatus for treating substrate
JP4433570B2 (ja) 基板処理装置及び基板処理方法
US6792693B2 (en) Wafer dryer system for PRS wet bench
JPH04263423A (ja) 連続処理エッチング方法及びその装置
JP2004252054A (ja) 基板処理方法
JPH04210223A (ja) 連続処理方法及び装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071003

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100216

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100416

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101026

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101101

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131105

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4621400

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term