JP3040212B2 - 気相成長装置 - Google Patents

気相成長装置

Info

Publication number
JP3040212B2
JP3040212B2 JP3226145A JP22614591A JP3040212B2 JP 3040212 B2 JP3040212 B2 JP 3040212B2 JP 3226145 A JP3226145 A JP 3226145A JP 22614591 A JP22614591 A JP 22614591A JP 3040212 B2 JP3040212 B2 JP 3040212B2
Authority
JP
Japan
Prior art keywords
tube
inner tube
hydrogen halide
phase growth
reaction gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP3226145A
Other languages
English (en)
Other versions
JPH0567571A (ja
Inventor
俣 秀 一 佐
下 嘉 明 松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP3226145A priority Critical patent/JP3040212B2/ja
Priority to US07/937,743 priority patent/US5246500A/en
Priority to KR1019920015900A priority patent/KR950012910B1/ko
Publication of JPH0567571A publication Critical patent/JPH0567571A/ja
Application granted granted Critical
Publication of JP3040212B2 publication Critical patent/JP3040212B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体基板にシリコン
(Si)をエピタキシャル成長させる気相成長装置に関
する。
【0002】
【従来の技術】一般にシリコン(Si)のエピタキシャ
ル成長法によれば、単結晶の高ド−プ半導体の層上に単
結晶の低ド−プ半導体層を形成したり、また半導体基板
上の開口部のみに単結晶半導体層を選択的に形成する
(選択的エピタキシャル成長法)ことができ、他の成長
法によっては実現しにくい半導体構造を形成することが
できる。また、エピタキシャル成長法によれば欠陥の無
い高品質の単結晶層を得ることができるため、バイポ−
ラLSIや一部のMOSLSIに適用される等、種々の
半導体素子への適用が試みられている。
【0003】Siのエピタキシャル成長に用いられる気
相成長装置の例として、図7に示すバレル型や図8に示
すパンケ−キ型が知られている。
【0004】図7において、符号1は反応ガス導入管を
示し、石英反応管2の周囲に加熱ランプ3が配設されて
いる。石英反応管2の内部には半導体基板5を配置する
サセプタ4が設けられ、サセプタ4は回転軸6によって
回転させられる。
【0005】また図8において、図7と同一部材には同
一符号を付されており、半導体基板5はサセプタ4の上
に載置され、ワ−クコイル9によってサセプタ4の下方
部から加熱される。
【0006】図7または図8において、反応ガス導入管
1から送られた反応ガスにより半導体基板5の層上に結
晶成長し、反応ガスの残りは排気配管7から排出される
ようになっている。
【0007】しかしながら、これらの装置は単位時間に
少ない枚数の半導体基板しか処理できないため、処理能
力の向上が求められていた。そしてウエ−ハの口径の増
大とともに単位時間に処理できる枚数がさらに少なくな
り、処理コストを減少するための対策が一層望まれるよ
うになった。
【0008】このような要請に対して、ウエ−ハを積み
重ねる型のホットウオ−ル縦型気相成長装置が着目され
ている。図9および図10にホットウオ−ル縦型気相成
長装置の従来例を示す。図7および図8と同一部材には
同一の符号が付して示す。
【0009】図9に示す気相成長装置において、反応ガ
スを送る反応ガス導入管1は、内管12の内部であって
ボ−トの側部に平行に立設されている。反応ガス導入管
1は短い長さを有しボ−ト13の底部近傍に取り付けら
れている。反応ガスは反応ガス導入管1の頂部から上方
に供給され、内管13の頂部にある排出口17から排出
される。
【0010】また図10において、石英反応管である外
管11と内管12によって二重反応管19が構成されて
いる。内管12の内部にはボ−ト13が設けら、このボ
−ト13の内部に図示しない半導体基板が積層される。
外管11の外周には、二重反応管19を熱するためのヒ
−タ10が周設されている。
【0011】反応ガス導入管1はノズルの形状をなして
いる。反応ガスは、このノズルの側部から横方向に供給
され、内管12の側部に設けられた排出口18から排出
される。
【0012】これらのホットウオ−ル縦型の気相成長装
置を用いると従来装置に比べて約10倍の処理能力を上
げることができる。なお膜厚の均一性に関して不均一に
なりやすいという問題が考えられるが、二重反応管を使
用したり反応ガス導入管1としてノズルを使用したりし
て反応ガスの流れ状態を適正化することにより、実用上
問題ないようにすることができる。
【0013】
【発明が解決しようとする課題】しかしながら、従来の
ホットウオ−ル縦型の気相成長装置を用いてSiのエピ
タキシャル成長を行う場合、二重反応管19の側壁にS
iが析出するウオ−ルデポの存在が問題となっていた。
【0014】二重反応管19の側壁に生じるウオ−ルデ
ポのうち内管12の内面に生じるウオ−ルデポは、内管
12の内壁近傍において反応ガスの流れが均一的になり
やすいため、内壁面に均一に生じやすい。この内管12
の内壁面に均一に生じるウオ−ルデポは、ヒ−タ10か
らの熱が主に輻射によって伝導されるために、内管12
内の温度分布の均一化に寄与することができる。このた
めに、内管12内の温度均一性の変化を抑制するため
に、むしろ積極的に内管12の内壁にSiを形成するこ
とがある。
【0015】これに対して内管12の外面や外管11の
内面に生じるウオ−ルデポは、内管12と外管11の間
では反応ガスの流れが均一的でないため、壁面に均一に
は生じにくい。また内管12と外管11の間は内管12
の内面に比べてヒ−タ10からの輻射熱を強く受けより
高温の状態にある。このため、内管12、外管11の石
英ガラス表面に発生したSiのウオ−ルデポにより石英
ガラスが部分的に失透したりクラックが発生したりする
問題があった。このため、二重反応管19の壁面がもろ
くなり安全上の問題となるとともに、二重反応管19内
の温度分布が不均一になり、ダストが発生しやすくなる
という問題があった。
【0016】そこで本発明の目的は、上記従来技術の有
する問題を解消し、二重反応管の内管の外面と外管の内
面にウオ−ルデポが析出することを防止できるホットウ
オ−ル縦型の気相成長装置を提供することである。
【0017】
【課題を解決するための手段】上記目的を達成するため
に、本発明は、内部に半導体基板を複数配置したボ−ト
と、ボ−トを囲む内管と、内管の外側に配置された外管
と、外管の外側に配置されたヒ−タとを備え、前記内管
内に半導体基板に対して反応ガスを噴出する反応ガス用
噴出ノズルを取り付けるとともに、前記内管に反応ガス
を排出する排出口を設け、前記内管と前記外管との間に
ハロゲン化水素ガスを噴出するハロゲン化水素ガス用噴
出ノズルを設け、これにより前記内管の外面または前記
外管の内面への反応物の析出を抑制するよう構成したこ
とを特徴とする。
【0018】
【作用】反応ガス用噴出ノズルから噴出した反応ガスは
内管内部の他に内管と外管の間にも流れる。内管と外管
の間は外管の外側に配置されたヒ−タによって熱せられ
て内管の外面と外管の内面に反応物が析出しやすい。こ
の場合、ハロゲン化水素ガス用噴出ノズルから内管と外
管の間にハロゲン化水素ガスを噴出させることにより、
反応物の析出を防止することができる。
【0019】
【実施例】以下本発明による気相成長装置の実施例を図
1乃至図6を参照して説明する。なお図7乃至図10に
示した従来の装置と同一部材には同一符号を付して示
す。
【0020】図1および図2を参照して本発明の第1の
実施例を示す。図1および図2において、図示しない複
数の半導体基板が円柱状のボ−ト13の内部に積層さ
れ、ボ−ト13の底部は回転軸6に軸支されている。ボ
−ト13は内管12の中央部に配設されており、この内
管12は石英ガラス管より形成されている。
【0021】内管12の内部のボ−ト13の背部には、
反応ガスを噴出するための反応ガス用噴出ノズル8が立
設されている。反応ガス用噴出ノズル8はボ−ト13に
平行にボ−ト13の上端部近傍まで伸びている。反応ガ
ス用噴出ノズル8の下部は反応ガスを導入するための反
応ガス導入管1に接続されている。
【0022】また反応ガス用噴出ノズル8の側部のうち
ボ−ト13に向い合う部分には、反応ガスを噴出するた
めの噴出口8aが上下方向に間隔をおいて穿設されてい
る。ボ−ト13を挟んで反応ガス用噴出ノズル8と反対
側の内管12の側面には、反応ガスを排出する排出口1
8が上下方向に間隔をおいて穿設されている。
【0023】内管12の外部には石英ガラスからなる外
管11が内管12と同軸状に設けられており、外管11
と内管12とは二重反応管19を構成している。
【0024】内管12と外管11の間の反応ガス用噴出
ノズル8近傍には、ハロゲン化水素ガスを噴出するため
のハロゲン化水素ガス用噴出ノズル21が二重反応管1
9の側面に平行に立設されている。ハロゲン化水素ガス
用噴出ノズル21は、頂部の頂部噴出口23と、側部に
上下方向に間隔をおいて設けられた側部噴出口24とを
有している。側部噴出口24の上端は排出口18より上
方にあり、また側部噴出口24は内管12の側面に向い
ている。またハロゲン化水素ガス用噴出ノズル21の底
部はハロゲン化水素ガス導入管22に接続されている。
【0025】外管11の外周には、二重反応管19を熱
するためのヒ−タ10が周設されている。
【0026】次にこのような構成からなる本実施例の作
用について説明する。
【0027】反応ガス導入管1から反応ガス用噴出ノズ
ル8に反応ガスとしてSiHCl+Hが送られ
る。反応ガスの温度は約900℃、圧力は5Torrで
ある。反応ガスは噴出口8aから噴出し、ボ−ト13の
内部に充満して積層された半導体基板の面に接触し、約
5μmの厚さのSi単結晶がエピタキシャル成長するよ
うになっている。反応ガスは内管12の内部全体に比較
的均一な流れを形成する。反応ガスはまた内管12と外
管11の間にも流れ、比較的不均一な流れを形成する。
排出口18から排出された反応ガスは、排気配管7から
外部に排出される。
【0028】またハロゲン化水素導入管22から噴出ノ
ズル21にハロゲン化水素ガスが供給される。ハロゲン
化水素ガスとしては例えばHClが用いられ、1リット
ル/分の流速で供給される。また、ハロゲン化水素ガス
と同時に流速10リットル/分の水素ガスを供給する。
水素ガスをこのような流量で供給するとウオ−ルデポの
析出をより有効に防止できることが実験的に確認されて
いる。
【0029】ハロゲン化水素ガス用噴出ノズル21の頂
部噴出口23から噴出されたハロゲン化水素ガス等は主
に、外管11の頭部内面および噴出ノズル21と反対側
の外管11の側部内面に沿って流れる。また側部噴出口
24から噴出されたハロゲン化水素ガス等は主に、内管
12の側部外面および外管11の側部内面に沿って流れ
る。図1および図2に反応ガスおよびハロゲン化水素ガ
ス等の主な流れの方向を矢印で示す。
【0030】ヒ−タ10からの熱は主に輻射熱として伝
導し、二重反応管18を構成する石英ガラス管を経て外
管11、内管12、外管11と内管12の間、および内
管12の内部を加熱する。
【0031】ハロゲン化水素ガス等によりSiのウオ−
ルデポの析出を抑制できる理由として次のことが考えら
れる。すなわち、反応ガスであるSiHClとH
の反応の結果、Siが析出するとともにHClが生成さ
れる。この場合、噴出ノズル21からハロゲン化水素ガ
ス等を供給することによりHClの生成を化学平衡的に
抑制し、Siの析出を抑制することができる。
【0032】(実験例)上述のような本実施例の構成お
よび条件において、ハロゲン化水素ガス用噴出ノズル2
1からHCl+Hのガスを噴射する実験を行った。こ
の実験結果を以下のように説明する。
【0033】すなわち、内管12の外面および外管11
の内面にはSiのウオ−ルデポはほとんど生成されなか
った。このようにウオ−ルデポが生成されないため、ヒ
−タ10からの輻射熱が不均一に遮蔽されることはな
く、したがって反応室内の温度分布が均一に調整され
た。
【0034】ところで、エピタキシャル成長の反応を行
わせる前にHガス等を二重反応管18内に流す気密試
験が通常行なわれている。この気密試験において、気密
不良が生じなかった。このことにより、石英ガラスの外
管11、内管12にクラックが発生してないことが確認
された。
【0035】これに対して、ハロゲン化水素ガス用噴出
ノズル21からHCl+Hのガスを噴射することをや
め、その他は上記実験と同じ条件にして実験を行った。
この場合、次のような実験結果が得られた。
【0036】内管12の外面および外管11の内面にS
iのウオ−ルデポの生成が認められた。特に排気ガスが
集中する排気口18の近傍の内管12の外面および外管
11の内面に顕著なウオ−ルデポが認められた。また不
均一なウオ−ルデポの生成によりヒ−タ10からの輻射
熱が不均一に遮蔽され、反応室内の温度分布が不均一に
なった。
【0037】さらに、Hガス等を二重反応管18内に
流すことによって行う通常の気密試験において、外管1
1に気密不良が生じていることが認められ、外管11の
石英ガラス管にクラックの発生が確認された。このクラ
ック発生の原因を調べた結果、石英ガラス管がウオ−ル
デポにより失透するためであることがわかった。
【0038】なお、内管12の内面のSiを積極的に形
成することにより二重反応管19内の温度を安定化でき
ることが実験的に確認された。
【0039】以上の結果より明らかなように、上述のよ
うな本実施例の構成によれば、内管12と外管11との
間にHCl+Hガスを噴出するハロゲン化水素ガス用
噴出ノズル21を設けたので、内管12の外面または外
管11の内面にSiのウオ−ルデポを有効に抑制するこ
とができる。
【0040】また、内管12の外面または外管11の内
面にウオ−ルデポが生成されないため、ヒ−タ10から
の輻射熱が不均一に遮蔽されることはなく、したがって
反応室内の温度分布が不均一になることはない。さら
に、Siのウオ−ルデポにより石英ガラスが部分的に失
透したりクラックが発生したりすることがないので、二
重反応管19を安全に作動させることができる。また、
ウオ−ルデポの生成に伴う修理時間を著しく軽減するこ
とができる。
【0041】次に図3を参照して本発明による第2の実
施例を説明する。
【0042】本実施例は、ハロゲン化水素ガス用噴出ノ
ズル21の側部の側部噴出口24aが外管11の側部内
面に向いていることを除いて、図1および図2に示した
実施例と同一の構成をとる。
【0043】本実施例の構成によれば、側部噴出口24
aから噴出したハロゲン化水素ガスは直接的に噴出ノズ
ル21の近傍の外管11の側部内面に噴射されるので、
外管11の側部内面全体を迂回しやすく、外管11の内
面に析出するウオ−ルデポを有効に抑制することができ
る。
【0044】次に図4を参照して本発明による第3の実
施例を説明する。
【0045】本実施例は、反応ガス用噴出ノズル8は頂
部に噴出口8aを有するのみで、側部には噴出口が設け
られてない。また、反応ガス用噴出ノズル8の高さは低
く、頂部がボ−ト13の底部と略同一の高さにある。そ
の他は図1および図2に示した実施例と同一の構成をと
る。
【0046】本実施例の構成によれば、反応ガス用噴出
ノズル8の頂部がボ−ト13の底部の高さにあり、反応
ガスは頂部にある噴出口8aから上方に噴出するので、
内管12内に均一に充満しやすく、また反応ガスが排出
口18へ直接的に噴出することがない。このため、特に
ウオ−ルデポの生成しやすい排気口18の近傍において
ウオ−ルデポを有効に抑制することができる。
【0047】次に図5および図6を参照して本発明によ
る第4の実施例を説明する。
【0048】図5および図6において、ハロゲン化水素
ガス用噴出ノズル22の他にさらに排出口18の両側の
近傍に対称的にハロゲン化水素ガス用噴出ノズル31、
32が対称に設けられている。ハロゲン化水素ガス用噴
出ノズル31、32は、各々内管12の外面に向かって
ガスを噴出する噴出口31a、32aと外管11の内面
に向かってガスを噴出する噴出口31b、32bとを有
する。また、ハロゲン化水素ガス用噴出ノズル31、3
2の噴出口の上端は排出口18より上方にある。
【0049】なお、図5に示す反応ガス用噴出ノズル8
は図4に示したものと同様、その高さが低くなっている
が、図1または図2に示した反応ガス用噴出ノズル8の
ように高いものであってもかまわない。
【0050】本実施例の構成によれば、ハロゲン化水素
ガス用噴出ノズル22の他にさらに排出口18の両側の
近傍にハロゲン化水素ガス用噴出ノズル31、32を設
けたので、ウオ−ルデポが顕著に生成しやすい排気口1
8の近傍の内管12の外面および外管11の内面におけ
るウオ−ルデポの生成を有効に抑制することができる。
なお、上記各実施例において、内管12の材質として
石英ガラスを用いたがこれに限らず、SiC、SiCコ
−トグラファイト、GCコ−トグラファイトを用いても
内管12の外面または外管11の内面のウオ−ルデポを
同様に抑制することができる。
【0051】また上記各実施例においてハロゲン化水素
ガスとしてHClを用いたが、代わりにHBr、HFを
用いてもよい。
【0052】
【発明の効果】以上の説明から明らかなように本発明に
よれば、内管と外管との間にハロゲン化水素ガスを噴出
するハロゲン化水素ガス用噴出ノズルを設けたので、内
管の外面または外管11の内面に反応物の析出を有効に
抑制することができる。
【図面の簡単な説明】
【図1】本発明による気相成長装置の第1実施例を示す
縦断面図。
【図2】本発明による気相成長装置の第1実施例を示す
横断面図。
【図3】本発明による気相成長装置の第2実施例を示す
縦断面図。
【図4】本発明による気相成長装置の第3実施例を示す
縦断面図。
【図5】本発明による気相成長装置の第4実施例を示す
横断面図。
【図6】本発明による気相成長装置の第4実施例を示す
横断面図。
【図7】エピタキシャル成長に用いられる従来のバレル
型気相成長装置を示す縦断面図。
【図8】エピタキシャル成長に用いられる従来のパンケ
−キ型気相成長装置を示す縦断面図。
【図9】従来の二重反応管を用いた気相成長装置を示す
縦断面図。
【図10】従来の二重反応管を用いた他の気相成長装置
を示す縦断面図。
【符号の説明】
1 反応ガス導入管 6 回転軸 7 排気配管 8 反応ガス用噴出ノズル 10 ヒ−タ 11 外管 12 内管 13 ボ−ト 18 排出口 19 二重反応管 21 ハロゲン化水素ガス用噴出ノズル 22 ハロゲン化水素ガス導入管 23 頂部噴出口 24 側部噴出口 24a 側部噴出口 31 ハロゲン化水素ガス用噴出ノズル 32 ハロゲン化水素ガス用噴出ノズル
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/205 H01L 21/31 H01L 21/365 H01L 21/22 511

Claims (5)

    (57)【特許請求の範囲】
  1. 【請求項1】内部に半導体基板を複数配置したボ−ト
    と、ボ−トを囲む内管と、内管の外側に配置された外管
    と、外管の外側に配置されたヒ−タとを備え、前記内管
    内に半導体基板に対して反応ガスを噴出する反応ガス用
    噴出ノズルを取り付けるとともに、前記内管に反応ガス
    を排出する排出口を設け、前記内管と前記外管との間に
    ハロゲン化水素ガスを噴出するハロゲン化水素ガス用噴
    出ノズルを設け、これにより前記内管の外面または前記
    外管の内面への反応物の析出を抑制するよう構成したこ
    とを特徴とする気相成長装置。
  2. 【請求項2】前記ハロゲン化水素ガス用噴出ノズルは前
    記反応ガス用噴出ノズルの近傍に設けられたことを特徴
    とする請求項1に記載の気相成長装置。
  3. 【請求項3】前記排出口は反応ガス用噴出ノズルに対向
    する前記内管の側面に形成され、前記ハロゲン化水素ガ
    ス用噴出ノズルは前記排出口の両側の近傍に設けられて
    いることを特徴とする請求項1に記載の気相成長装置。
  4. 【請求項4】前記ハロゲン化水素ガス用噴出ノズルはこ
    の噴出ノズルの側部および頂部に複数の噴出口を有する
    ことを特徴とする請求項1に記載の気相成長装置。
  5. 【請求項5】前記ハロゲン化水素ガス用噴出ノズルの最
    上部の噴出口は、前記排出口より上方にあることを特徴
    とする請求項4に記載の気相成長装置。
JP3226145A 1991-09-05 1991-09-05 気相成長装置 Expired - Fee Related JP3040212B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP3226145A JP3040212B2 (ja) 1991-09-05 1991-09-05 気相成長装置
US07/937,743 US5246500A (en) 1991-09-05 1992-09-01 Vapor phase epitaxial growth apparatus
KR1019920015900A KR950012910B1 (ko) 1991-09-05 1992-09-02 기상성장장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3226145A JP3040212B2 (ja) 1991-09-05 1991-09-05 気相成長装置

Publications (2)

Publication Number Publication Date
JPH0567571A JPH0567571A (ja) 1993-03-19
JP3040212B2 true JP3040212B2 (ja) 2000-05-15

Family

ID=16840566

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3226145A Expired - Fee Related JP3040212B2 (ja) 1991-09-05 1991-09-05 気相成長装置

Country Status (3)

Country Link
US (1) US5246500A (ja)
JP (1) JP3040212B2 (ja)
KR (1) KR950012910B1 (ja)

Families Citing this family (379)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6139641A (en) * 1996-06-24 2000-10-31 Kokusai Electric Co., Ltd. Substrate processing apparatus having a gas heating tube
JP3270730B2 (ja) * 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
AU3267201A (en) 1999-11-02 2001-05-14 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100752682B1 (ko) * 2000-04-06 2007-08-29 에이에스엠 아메리카, 인코포레이티드 유리질 보호용 장벽코팅
EP1303870A2 (en) 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
SG125069A1 (en) * 2001-05-17 2006-09-29 Sumitomo Chemical Co Method and system for manufacturing III-V group compound semiconductor and III-V group compound semiconductor
JP2003045864A (ja) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
US20040040660A1 (en) * 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
JP2003213421A (ja) * 2002-01-21 2003-07-30 Hitachi Kokusai Electric Inc 基板処理装置
US7001468B1 (en) 2002-02-15 2006-02-21 Tokyo Electron Limited Pressure energized pressure vessel opening and closing device and method of providing therefor
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
WO2004008493A2 (en) * 2002-07-15 2004-01-22 Aviza Technology, Inc. Method and apparatus for supporting semiconductor wafers
WO2004027846A1 (ja) * 2002-09-20 2004-04-01 Hitachi Kokusai Electric Inc. 基板処理装置および半導体装置の製造方法
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US7021635B2 (en) * 2003-02-06 2006-04-04 Tokyo Electron Limited Vacuum chuck utilizing sintered material and method of providing thereof
US7225820B2 (en) * 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
US7077917B2 (en) 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US7163380B2 (en) 2003-07-29 2007-01-16 Tokyo Electron Limited Control of fluid flow in the processing of an object with a fluid
US20050035514A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Vacuum chuck apparatus and method for holding a wafer during high pressure processing
US20050098107A1 (en) * 2003-09-24 2005-05-12 Du Bois Dale R. Thermal processing system with cross-flow liner
US20050067002A1 (en) * 2003-09-25 2005-03-31 Supercritical Systems, Inc. Processing chamber including a circulation loop integrally formed in a chamber housing
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7186093B2 (en) * 2004-10-05 2007-03-06 Tokyo Electron Limited Method and apparatus for cooling motor bearings of a high pressure pump
JP4379585B2 (ja) * 2003-12-17 2009-12-09 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
DE102004004858A1 (de) * 2004-01-30 2005-08-18 Infineon Technologies Ag Vorrichtung zum Beschichten von Substratscheiben, Gaszufuhreinrichtung und Verfahren zu ihrer Herstellung
US7250374B2 (en) 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US7307019B2 (en) 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US7491036B2 (en) 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US7140393B2 (en) 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US7434590B2 (en) 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US7435447B2 (en) 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US7291565B2 (en) 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US7380984B2 (en) 2005-03-28 2008-06-03 Tokyo Electron Limited Process flow thermocouple
US7494107B2 (en) 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
JP5658463B2 (ja) * 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8382370B2 (en) * 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US9297705B2 (en) * 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP2015072937A (ja) * 2013-10-01 2015-04-16 株式会社東芝 半導体製造装置、半導体製造方法及びプロセスチューブ
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
DE102013113687A1 (de) * 2013-12-09 2015-06-11 Otto-Von-Guericke-Universität Magdeburg Beschichtungssystem
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
JPH0567571A (ja) 1993-03-19
KR930006822A (ko) 1993-04-22
KR950012910B1 (ko) 1995-10-23
US5246500A (en) 1993-09-21

Similar Documents

Publication Publication Date Title
JP3040212B2 (ja) 気相成長装置
US6716772B2 (en) Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US6270862B1 (en) Method for high density plasma chemical vapor deposition of dielectric films
EP1386981B1 (en) A thin film-forming apparatus
US20010047764A1 (en) Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US20030049372A1 (en) High rate deposition at low pressures in a small batch reactor
JP3184000B2 (ja) 薄膜の形成方法およびその装置
JPH03287770A (ja) 枚葉式常圧cvd装置
JPH021116A (ja) 熱処理装置
JP2004006551A (ja) 基板処理装置および基板処理方法
JPH0587128B2 (ja)
JPH0316208A (ja) シリコンエピタキシャル成長装置
JPH05198520A (ja) 薄膜形成装置
JP2001156065A (ja) 半導体装置の製造方法および半導体製造装置
JP4620288B2 (ja) バッチ式熱処理装置
WO1999036588A1 (en) Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
JPS6168393A (ja) ホツトウオ−ル形エピタキシヤル成長装置
JP2006186015A (ja) 基板処理装置
JP2004296659A (ja) 基板処理装置および半導体装置の製造方法
JPH11240794A (ja) エピタキシャル成長装置
JPH1050615A (ja) 枚葉式気相成長装置
JP3259453B2 (ja) プラズマcvd装置に用いる電極及びプラズマcvd装置
JPS62158867A (ja) Cvd薄膜形成装置
JP3072664B2 (ja) 縦型減圧気相成長装置
JP2023008986A (ja) クリーニングガスを提供するクリーニングガスシステムを有する化学蒸着炉

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees