CN100423179C - 用于真空处理系统的传送处理室 - Google Patents

用于真空处理系统的传送处理室 Download PDF

Info

Publication number
CN100423179C
CN100423179C CNB038195593A CN03819559A CN100423179C CN 100423179 C CN100423179 C CN 100423179C CN B038195593 A CNB038195593 A CN B038195593A CN 03819559 A CN03819559 A CN 03819559A CN 100423179 C CN100423179 C CN 100423179C
Authority
CN
China
Prior art keywords
transfer chamber
chamber
main body
domed bottom
domed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB038195593A
Other languages
English (en)
Other versions
CN1675742A (zh
Inventor
栗田真一
伊曼纽尔·比尔
阮·亨·T
温德尔·T·布隆尼格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1675742A publication Critical patent/CN1675742A/zh
Application granted granted Critical
Publication of CN100423179C publication Critical patent/CN100423179C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种用于一衬底处理工件的传送处理室,其包含具有侧墙的一主体,其中侧墙适于耦接到至少一处理室与至少一负载阻隔处理室。主体容纳一机械人手臂的至少一部分,该机械人手臂适合用于在处理室与负载阻隔处理室间加以传送衬底。一盖件耦接至传送处理室的主体的一顶部,并密封该顶部。传送处理室也具有一半球形底部,该半球形底部适于耦接至传送处理室的主体的底部,并密封该底部。

Description

用于真空处理系统的传送处理室
技术领域
本发明大致关于一种用于处理衬底的处理系统,特别是关于使用该系统的传送处理室。
背景技术
常规的制造平面衬底显示器或半导体装置的技术,必须施予一连续制程于例如一玻璃衬底或一硅晶圆之衬底上。此施予的连续制程包含热处理、物理气相沉积(PVD)、化学气相沉积(CVD)、蚀刻等。此连续制程中的每一制程典型地在一个别处理室中加以实施。因此,衬底必须由一处理室传送至另一处理室,以进行各式制程处理。
合并数种不同处理室于一单一处理工件中,为公知技术,其中各处理室围绕一中央传送处理室的周围而加以耦接。图1为常规处理工件11的部分垂直截面图。处理工件11包含一中央定位的传送处理室13。一负载阻隔处理室15与一处理室17分别耦接至传送处理室13的个别侧边。一或多个制程处理室与/或负载阻隔处理室(未显示),也可耦接至传送处理室的个别侧边。负载阻隔处理室15被设置以容纳衬底自处理工件11的外侧导入处理工件11内。
传送处理室13包含具有侧墙21的一主体19(图1仅示出两侧墙)。每一侧墙21被容许一负载阻隔处理室或一处理室耦接至该处。传送处理室13也包含支撑于主体19上的一顶部23。一盖件25被提供以密封传送处理室13的顶部23。
传送处理室13的一较低端是藉由实质为环状的底部27而封闭。传送处理室13的底部27具有一中央孔径29,该中央孔径29系容纳一衬底控制机械人手臂31安装于传送处理室13内。衬底控制机械人手臂31适于在耦接至传送处理室13的处理室17与负载阻隔处理室15间,加以传送衬底。
为了尽可能地缩减处理工件11内的欲处理衬底的污染,一般是维持传送处理室13内部的真空状态。因此,处理工件11可代表一真空处理系统。一抽泵系统(未显示)被耦接至传送处理室13,以抽除传送处理室13内的气体达到一合适的真空度。
如图1所示,一启动器33选择性地开启或关闭连接至处理室17的一狭缝阀35。当狭缝阀35处于一开启位置(未显示)时,一衬底可导入到处理室17或自处理室17移除。当狭缝阀35处于一关闭位置(图1图所示)时,处理室17与传送处理室13相互隔绝,以致一制造步骤可在处理室17内的一衬底上加以实施。
处理工件与它的特定传送处理室部分,被以各式尺寸加以制造。在某些情形中,需要传送处理室13具有十分大的尺寸。例如,在用于制造平面衬底显示器的处理工件中,现在所处理的玻璃平面衬底的边长范围约为0.5至1.5米长,不久将来可到达2至3米长。因此,为了满足此类应用,故需要一非常大尺寸的传送处理室。此外,可能需要增加处理室的数目与/或含于处理工件内的负载室的数目,故也需要大尺寸的传送处理室。然而,传送处理室尺寸的增加,将提高其组件内(诸如传送处理室之底部)的真空诱发应力。为了容纳此类应力,要增加传送处理室底部的厚度,以提供增进的强度。然而,传送处理室底部增加的厚度,导致传送处理室具有较大重量,并增加制造的困难度,进而提高成本。
发明内容
本发明的第一个方面是提供一传送处理室,该传送处理室包含具有侧墙的主体,该侧墙适于耦接至至少一处理室与至少一负载阻隔处理室。主体也适于容纳一机械手臂的至少一部分,该机械人手臂适于在至少一处理室与至少一负载阻隔处理室间加以传送一衬底。本发明的传送处理室也包含盖件,该盖件耦接并密封传送处理室主体的顶部。本发明的传送处理室还包含一半球形底部,该底部耦接并密封传送处理室主体的底部。
本发明的第二个方面是提供一真空处理系统,该真空处理系统包含如本发明第一方面所述的传送处理室。本发明的真空处理室也包含耦接至传送处理室主体的至少一处理室与至少一负载阻隔处理室。本发明的真空处理系统还包含至少部分通过半球形底部并延伸进入传送处理室的一机械人手臂。机械人手臂适于在至少一处理室与至少一负载阻隔处理室间,经由传送处理室,加以传送一衬底。
本发明的第三个方面是提供一种形成传送处理室的半球形底部的方法。传送处理室适于耦接至少一负载阻隔处理室至至少一处理室。该方法包含选择一材料并自该材料加以形成一半球形底部。半球形底部具有一合适的外直径,以密封传送处理室主体的底部部分。半球形底部也具有一孔径,该孔径的直径容纳机械人手臂之至少一部分。机械人手臂系设以在耦接至传送处理室之至少一负载阻隔处理室与至少一处理室间,加以传送衬底。
因为本发明所提供的传送处理室底部具有一半球形构形,故在传送室底部具有相同厚度时,本发明的传送处理室底部的强度大于具有平面构形的传送处理室底部的强度。据此,本发明的传送处理室底部可制成较薄但强度相当的厚度,因而缩减成本与重量。
本发明进一步的特征与优点,将通过以下实施例详细的描述、后附的专利申请范围与附图更为清楚明了。
附图说明
图1时显示传统真空处理系统的垂直截面图;
图2为本发明第一实施例的真空处理系统的垂直截面图;
图3为图2的真空处理系统的传送处理室的爆炸图;
图4为图2与图3的传送处理室的一示范实施例的简化侧面图;
图5为本发明的另一实施例的真空处理系统的垂直截面图。
具体实施方式
本发明的传送处理室底部为一半球形构形,藉此在一给予的底部厚度下提供较大强度,并缩减传送处理室的内部体积。由于本发明的传送处理室底部的厚度系小于习知传送处理室底部的厚度,故缩减成本与重量。传送处理室缩减的内部体积系也降低抽气的次数,藉此增加产率。
本发明的实施例现将参考图2与图3加以描述。
图2相似于图1,其显示本发明的一实施例的处理工件201(真空处理系统)。本发明的处理工件201包含一新的传送处理室203。一传统的负载阻隔处理室15(如一双槽真空阻绝室(DDSL)或其它传统的负载室)与一常规的处理室17,被耦接至本发明的传送处理室203。应了解的是,即便图式中未显示,但一或多个附加制程处理室与/或负载阻隔处理室也可耦接至传送处理室203。衬底控制机械人手臂205位于传送处理室203内。如同图1的习知系统,一抽泵系统(未显示)可耦接至本发明的传送处理室203,以抽除传送处理室203的气体,达到一合适的真空度。为了便于说明,本发明的传送处理室203将同时参考图2与图3加以描述(图3为传送处理室203的爆炸图)。
如图2与图3所示,传送处理室203包含一主体207,该主体207是由一单一片材料(如铝)而制成。其它材料也可使用。本发明的至少一实施例的主体207高度(HmB)被缩减,以致缩减传送处理室207整体的体积与重量,以下将进一步描述。主体207包含一圆柱形内墙209与具有平面区域213的外墙211,该平面区域213形成适于耦接至处理室或负载阻隔处理室的侧墙215(图2)。在至少一实施例中,每一侧墙215(图2)在其最薄的部分(如每一平面区域213的中央)具有大约2英寸英寸的厚度。其它侧墙厚度可加以使用。每一侧墙215包含一或多个个别狭缝217(图3),其中一衬底藉由衬底控制机械人手臂205,由传送处理室203通过该狭缝217进入一处理室17,或反向进行。一狭缝阀(未示于图2与图3中)被连接至每一狭缝217,以选择性地开启与关闭每一狭缝217。在图2与图3的实施例中,传送处理室203包含两狭缝217a、217b,以容许被负载的衬底在两不同高度处进入与离开负载阻隔处理室15。狭缝217a、217b可经由例如习知的闸阀219a、219b(图2)加以密封。
虽然图2或图3未显示,但传送处理室203可在传送处理室203的内部使用狭缝阀启动器,诸如图1的狭缝阀启动器33,以密封或开启狭缝217(如一习知45度形式狭缝阀)。在至少一实施例中,习知闸阀(如可垂直移动的外部闸阀221(图2))位于传送处理室203的外侧,以密封并开启狭缝217。此一构形系简化传送处理室203的半球形底部的设计(以下描述)。
再次回到图3,本发明的传送处理室203也包含一环状顶部组件223,并经由一第一O形环225密封地接合至主体207的上部分。本发明的传送处理室203也包含一盖件227,该盖件227经由一第二O形环231加以密封关闭顶部组件223的一孔径229。其它密封装置可以其它构形加以使用,以密封传送处理室203的顶部。
如图2所示,本发明的传送处理室203也包含具有半球形构形的一底部组件233。而参照图2系可发现,底部组件233具有一凹形构形,以致传送处理室203的盖件227与底部组件233的中央部分间的垂直距离,大于盖件227与底部组件233的外缘间的垂直距离。本发明的一实施例中,底部组件233用单一片材料,如不锈钢,加以制成。其它材料与/或构形可加以使用。用于制造底部组件233的技术被揭露,如2000年3月10日所申请且尚在审查中的美国专利申请案第09/523,366号,其标题名称为「制造组件的真空处理系统」(专利代理人文件编号为2801),此全文在此并入本文的参考文献中。此类技术包含如旋转、滚动与/或类似技术。
在一实施例中,底部组件233具有约0.5至0.625英寸英寸的厚度(如在半球形区域内),而习知的具有平面构形且尺寸相当的底部组件具有3英寸英寸的厚度(如外直径约为2.6米)。其它厚度可使用。
再次回到图3,底部组件233是经由底部组件233的一外缘235与一第三O形环237,加以耦接并密封主体207的一底部部分。底部组件233具有一大致为圆形的中央孔径239。外缘235与中央孔径239的厚度大于底部组件233的剩余部分(如在上述实施例中约两平方英寸英寸),且个别形成并贴附至半球形区域(如通过焊接)。各式开口与/或表面特征241被用以容纳传感器、真空埠、气体埠等。一环状插塞组件243被藉由一第四O形环245加以密封底部组件的中央孔径239。插塞组件243具有一中央孔径247,该中央孔径247密封地容纳衬底控制机械人手臂205(图2)加以延伸通过插塞组件243的中央孔径247与底部组件233的中央孔径239。一第五O形环249(图3)密封机械人手臂205周围的插塞组件243的中央孔径247。其它密封装置可使用取代O形环237、245与249。
本发明提供一传送处理室,该传送处理室的底部具有一半球形构形。在具有一给定尺寸的传送处理室中,相较于习知平面底部,半球形底部可以较薄的材料加以制成。传送处理室底部的成本与重量因而缩减。此传送处理室的功效对于非常大尺寸的传送处理室特别显著,而该非常大尺寸的传送处理室使用处理工件以处理用以制造平面衬底显示器的玻璃衬底。此一设计缩减传送处理室的未使用空间,且无干涉其上的高度限制(如在其上的工业传送系统、天花板的高度等)。
因着平面衬底工业逐渐地成熟,玻璃衬底传送入传送处理室(如传送处理室203)内的尺寸将持续地成长。现有的玻璃衬底的边长范围约为0.5至1.5米。然而,较大的玻璃衬底已发展(如边长为2-3米)。增加尺寸的玻璃衬底需要直径增加的传送处理室(与较大负载阻隔处理室与处理,以处理此较大的衬底)。在不久的将来,传送处理室的平面区域(图3的平面区域213)可达到大于约2-4米的尺寸,以容纳相似尺寸的玻璃衬底。因着传送处理室、负载阻隔处理室与制程处理室尺寸的增加,各式因素将纳入传送处理室的设计考虑内,如机械人手臂的尺寸、传送处理室的体积、传送处理室内可获得的空间、传送处理室内所产生的真空力、传送处理室的重量、传送处理室的成本、及相似的因素。
图4系为图2与图3的传送处理室的一示范实施例的简化侧视图。在图4中,传送处理室203被耦接至至少一负载阻隔处理室401与至少一制程处理室403,并包含上述的主体207。为了清楚起见,传送处理室203的支撑结构与负载阻隔处理室及制程处理室401、403未被示于图4中。
传送处理室203的一相关设计参数,是为传送处理室203下可获得的空间,以容纳半球形底部233。如图4所示,传送处理室203具有一最小传送高度HTR,此高度表示衬底在传送处理室203内的最小传送高度。最小传送高度HTR为一工业标准、制造装置使用传送处理室203的一需求、或相似物。如图4的实例,最小传送高度HTR被相对立于装置(未显示)的地板405加以界定,而传送处理室203被设置于该装置处。地板405可为如清洗室的一地板、一上升地板或任何在传送处理室203下的空间/面积/高度上的较低限制。
最小传送高度HTR系设定传送处理室203高于地板405的高度HF。传送处理室203之下的体积,被用以容纳半球形底部233,该体积大小相近于一圆柱形的体积大小,该体积的高度相等于地板405上的传送处理室203的高度(HF),其中该圆柱形的直径相同于传送处理室203主体207的内直径(DMB)。
如图4所示,传送处理室203的半球形底部233包含高度为HD1的一圆柱形区域233a与高度为HD2的一半球形区域233b。因此,半球形底部233的体积相近于圆柱形区域233a与半球形区域233b的体积总和。
传送处理室203一般较佳具有尽可能小的体积(如,为了缩减抽气的次数,以增加产率)。因为就体积的考虑而言,一半球形构形所界定的体积小于一圆柱形构形所界定的体积(在相同的预界定空间区域内),故欲求最大化传送处理室203的半球形部分的体积(如,增大半球形底部233的半球形区域233b,并同时缩减半球形底部233的圆柱形区域233a的高度HD1与主体207的高度HMB)。然而,其它因素影响主体207的高度HMB与半球形底部233的圆柱形区域233a的高度HD1的选择。例如,传送处理室203主体207的高度HMB应有效地容纳耦接至主体207的负载阻隔处理室与/或制程处理室。如在本发明的至少一实施例中,主体207的高度HMB,以可容纳介于负载阻隔处理室401间的狭缝开口217a、217b为基础,而加以设定。
半球形底部233的圆柱形区域233a的高度HD1应有效地容纳衬底传送机械人手臂205的高度(图2)。如图2所示,衬底传送机械人手臂205包含一第一手臂205a与一第二手臂205b。当衬底传送机械人手臂205在接近最小传送高度HTR的一高度加以传送一衬底时(诸如通过传送处理室203主体207的开口217b),半球形底部233的圆柱形区域233a的高度HD1,应具有足以防止机械人手臂205的第二手臂205b延伸进入半球形底部233的半球形区域233b的高度(不论机械人手臂205是处于图2所示的缩回状态,或如图1所示的延伸状态(以机械人手臂31加以显示))。如果半球形底部233的圆柱形区域233a的高度HD1,不具有足以防止第二手臂205b延伸进入半球形底部的半球形区域233b的高度,半球形区域233b将接触并干扰第二手臂205b的操作。随着玻璃衬底尺寸与传送处理室尺寸的增加,每一机械人手臂205a、205b的刚性(与厚度)典型地增加。半球形底部233的圆柱形区域233b的高度HD1将相对应的增加,以补偿机械人手臂增加的尺寸。
另一影响传送处理室203设计的因素,为半球形底部233所需的强度。随着传送处理室尺寸的增加,在传送处理室203抽真空时,将增加半球形底部233上所施加的力。真空力量在半球形底部233的外缘235将最为显著,而半球形底部233应具有足够的强度,以抵抗真空诱发的偏斜,该偏斜影响半球形底部233与传送处理室203主体207与/或机械人手臂205(图2)间的密封的可靠度。
就强度的观点,球形构形为半球形底部233的较佳构形(如图4所述的半球形底部233’)。此一构形的曲率半径(RD1’)仅需主体207直径(DMB)的一半。然而,如图4所示,用于半球形底部233的球形构形,占有传送处理室203下的更大空间(如,可干涉地板405或任一空间限制),因而使得传送处理室具有大的体积。为了缩减半球形底部233所需的空间/体积,一较大的曲率半径(RD1)可用于半球形底部233的半球形区域233a的一第一部份407。在至少一实施例中,半球形底部233的第一部份407的曲率半径RD1,大于传送处理室203主体207的直径DMB的一半。在一特定实施例中,曲率半径RD1约为传送处理室主体207的直径DMB的1.5倍。其它的倍率可加以使用。曲率半径(RD1)的选择取决于多种因素,诸如传送处理室203下可获得的空间、用于半球形底部233的材料强度。
当半球形底部233的第一部份的曲率半径RD1,大于传送处理室203主体207的直径DMB的一半时,半球形底部233可加以提供具有曲率半径RD2的一第二半径部分409。此附加的曲率半径用以补偿半球形底部233的第一部份407的曲率半径RD1与主体207的半径(DMB的一半)间的不协调。在本发明的至少一实施例中,第二部份409的曲率半径RD2接近半球形底部233的厚度(其最薄的点)的5-20倍。
依据以上的描述,本发明的传送处理室203与/或半球形底部233系设计如下:
(1)决定传送处理室下可用于半球形底部233的空间(如,根据任一干涉结构诸如地板405上的最小传送高度HTR与/或传送处理室203的高度HF);
(2)决定半球形底部233的第一部份407的曲率半径RD1(如,基于地板405上的传送处理室203的高度HF、最小传送高度HTR、传送处理室203欲求的全部尺寸、机械人手臂205的尺寸(如宽度、高度)、半球形底部233可容忍的偏斜量、传送处理室203内的真空度等);
(3)决定半球形底部233的厚度(如,考虑半球形底部233的第一部份407的曲率半径RD1、材料强度、半球形底部233可容忍的偏斜量、传送处理室203内的真空度等);
(4)决定传送处理室203主体207的高度HMB(如,依据耦接至主体207的负载阻隔处理室与/或制程处理室的尺寸、可容纳狭缝开口的高度,以嵌入耦接至主体207的负载阻隔处理室与/或制程处理室等);
(5)决定半球形底部233的圆柱形区域233a的高度HD1(如,基于机械人手臂205的尺寸(如第二手臂205b的厚度)、传送处理室203的最小传送高度HTR、第二手臂205b与机械人手臂205(图2)的一末端受动器205c间的距离等);
(6)决定半球形底部233的第二部分409的曲率半径RD2(如,基于半球形底部233的第一部份407的曲率半径RD1、半球形底部233的圆柱形区域233a的高度HD1)。
上述的任一因素可独立或结合地使用,以设计不同条件的一或多个传送处理室203与/或半球形底部233。其它因素,诸如容纳机械人手臂205所需的孔径直径(DDB)、影响半球形底部强度的孔径、或相似因素,在传送处理室203与/或半球形底部233的设计期间,可加以考虑。
在本发明的一示范实施例中,传送处理室203系设置如下:
(1)主体207的直径DMB约为2.6米;
(2)主体207的高度HMB约为0.8米;
(3)半球形底部233的圆柱形区域233a的高度HD1约为12英寸;
(4)半球形底部233的半球形区域233b的高度HD2约为12英寸;
(5)半球形底部233的圆柱形区域233a与半球形区域233b的厚度约为0.5-0.625英寸;
(6)半球形底部233的半径部分407的曲率半径RD1约为主体207的直径的1.5倍;
(7)半球形底部233的半径部分409的曲率半径RD2约为半球形区域233b的厚度的5-20倍;及
(8)主体207的厚度约为2英寸(在其最薄的处)。其它传送处理室的构形可加以使用。
再次回到图2,现参考附图加以说明用于传送处理室203与/或机械人手臂205的示范支撑结构241。此类支撑结构系包含如一或多个合适尺寸的垫座脚件243、十字组件245与/或支架247。任何用以支撑传送处理室203或机械人手臂的装置系可加以使用。负载阻隔处理室15系藉由如清洗室墙249与/或十字组件253加以支撑。处理室17系藉由如一或多个垫座251与/或十字组件253加以支撑。其它支撑装置系可加以使用。
在本发明的至少一实施例中,支撑结构241适于支撑传送处理室203的主体207与机械人手臂205,而无须直接地支撑半球形底部233。例如,垫座243可嵌入主体207的平面区域213(图3),而无须接触半球形底部233。十字组件245与支架247可支撑机械人手臂205的主干255,而无须接触半球形底部233(如图所示)。半球形底部233通过主体207加以支撑(如,通过拴件加以悬挂,或其它未示的固定装置)。
在上述的实施例中,半球形底部233与主体207的支撑结构241及机械人手臂205相互隔离(亦即,相对于主体207与机械人手臂205而「漂浮」)。一传统折箱密封件(未示出),系也描述符合本发明上述的实施例。该折箱密封件被用于半球形底部233与机械人手臂205间,以容许半球形底部233相对于机械人手臂205垂直地移动,而不会影响其间的真空密封状态(如,经由图3的O形环245、249)。通过该方法,半球形底部233在传送处理室203抽真空与排放气体期间,自由地偏斜,而在设计半球形底部233时,具有较少的设计限制(如材料厚度、强度等)。再者,半球形底部233的偏斜将无影响机械人手臂205的定位与/或校准。
图5为本发明另一实施例的处理工件501的垂直截面图。本发明的另一处理工件501,除了其具有一传送处理室503,而该传送处理室503具有半球形构形的一盖件527(取代图2与图3所示的平面传送处理室盖件227)之外,该处理工件501其余的部分,相同于图2所示的处理工件201的所有部分。具有半球形构形的传送处理室盖件,被揭露于上述的专利申请案第09/523,366号(专利代理人文件编号为2801)。
以上描述仅揭露本发明的示范实施例,然而,熟习此项技术者可立即了解,上述装置的变形被包含于本发明范围。例如,虽然本发明的半球形传送处理室底部,具有如上所述的凹形构形,但半球形传送处理室底部可替代地具有凸形构形(亦即,半球形底部的中央部分与传送处理室的盖件间的垂直距离,小于半球形底部的外缘与传送处理室的盖件间的距离)。在此所使用的「半球形」底部或盖件,仅利用其一部份,诸如一外缘部分、半球形、或曲面。而底部或盖件的其它部分可使用其它形状与/或平面。此一半球形底部(或盖件)的设计,可考虑一或多个传送处理室的高度或宽度、传送处理室下的可获得的高度或宽度、或相似参数。
如图5的实施例所示,应可理解的是,若使用半球形盖件,盖件的构形为凸形(如,揭露于上述美国专利申请案第09/523366号中),而非凹形。
虽然本发明对于处理玻璃衬底的大型传送处理室特别有帮助,但本发明也可使用于其它形式的处理工件,如处理硅晶片的工件。本发明适用于耦接至任何数量的处理室与负载阻隔处理室的传送处理室。
应了解的是,图3的至少部分传送处理室组件,可结合其它组件。例如,盖件227与顶部组件223结合为一单一片,以密封地关闭传送处理室203主体207。因此,应了解的是,后附申请专利范围所述的「盖件」,包含用以密封传送处理室顶部的一、二或多片。故可预期的是,本发明的传送处理室组件,虽如图3所示为单一片,但也可替代为二或多片。
底部组件233与插塞组件243可结合为一单一整合片,以密封衬底控制机械人手臂的周围。
本发明的传送处理室可设以容纳任一形式的衬底控制机械人手臂,包含「青蛙腿(frog leg)」形式的机械人手臂。
如果传送处理室底部被用以支撑传送处理室主体的重量,与/或每一耦接至传送处理室的负载阻隔处理室与制程处理室的部分重量(如,如果半球形底部非相对于主体而漂浮),将影响半球形底部的设计(如,底部的非半球形部分的高度、底部半球形部分的半径、材料厚度等)。
因此,虽然本发明已结合示范实施例加以揭露,但应了解的是,其它实施例通过以下的专利申请范围加以界定并包含于本发明的精神与范围内。

Claims (35)

1. 一种传送处理室,该传送处理室至少包含:
具有侧墙的一主体,适于耦接至至少一处理室与至少一负载阻隔处理室,并容纳一机械人手臂的至少一部份,所述机械人手臂适于在所述至少一处理室与所述至少一负载阻隔处理室间,加以传送一衬底;
一盖件,适于耦接至所述传送处理室的所述主体的一顶部部分,并密封所述顶部部分;及
一半球形底部,适于耦接至所述传送处理室的所述主体的一底部部分,并密封所述底部部分,以及允许一机械人手臂至少部分地延伸通过所述半球形底部并进入所述传送处理室。
2. 如权利要求1所述的传送处理室,其中所述主体至少包含一圆柱形内墙与具有多个平面区域的一外墙,每一所述多个平面区域,适于耦接至一负载阻隔处理室与一处理室的至少一者。
3. 如权利要求2所述的传送处理室,其中所述主体是以一单一片材料加以制成。
4. 如权利要求3所述的传送处理室,其中所述主体至少包含铝材料。
5. 如权利要求4所述的传送处理室,其中所述主体的所述侧墙具有约2英寸的最小厚度。
6. 如权利要求1所述的传送处理室,其中所述盖件实质呈平面。
7. 如权利要求1所述的传送处理室,其中所述盖件为半球形。
8. 如权利要求1所述的传送处理室,其中所述半球形底部是以一单一片材料加以制成。
9. 如权利要求8所述的传送处理室,其中所述半球形底部至少包含不锈钢材料。
10. 如权利要求9所述的传送处理室,其中所述半球形底部具有约0.625英寸的最小厚度。
11. 如权利要求1所述的传送处理室,其中所述半球形底部具有一凹形构形,以致所述盖件与所述半球形底部的一中央部分间的垂直距离,大于所述盖件与所述半球形底部的一外缘间的垂直距离。
12. 一种真空处理系统,该系统至少包含:
一传送处理室,所述传送处理室至少包含:
具有侧墙的一主体,适于耦接至至少一处理室与至少一负载阻隔处理室,并容纳一机械人手臂的至少一部份,所述机械人手臂适于在所述至少一处理室与所述至少一负载阻隔处理室间,加以传送一衬底;
一盖件,适于耦接至所述传送处理室的所述主体的一顶部部分,并密封所述顶部部分;
一半球形底部,适于耦接至所述传送处理室的所述主体的一底部部分,并密封所述底部部分;
至少一处理室,耦接至所述传送处理室的所述主体;
至少一负载阻隔处理室,耦接至所述传送处理室的所述主体;及
一机械人手臂,至少部分地延伸通过所述半球形底部并进入所述传送处理室,所述机械人手臂适于在所述至少一处理室与所述至少一负载阻隔处理室间,经由所述传送处理室,加以传送一衬底。
13. 如权利要求12所述的系统,其中所述主体至少包含一圆柱形内墙与具有多个平面区域的一外墙,每一所述多个平面区域,适于耦接至一负载阻隔处理室与一处理室的至少一者。
14. 如权利要求13所述的系统,其中所述传送处理室的所述主体是以一单一片材料加以制成。
15. 如权利要求14所述的系统,其中所述传送处理室的所述主体至少包含铝材料。
16. 如权利要求15所述的系统,其中所述传送处理室的所述主体的所述侧墙具有约2英寸的最小厚度。
17. 如权利要求12所述的系统,其中所述传送处理室的所述盖件实质呈平面。
18. 如权利要求12所述的系统,其中所述传送处理室的所述盖件为半球形。
19. 如权利要求12所述的系统,其中所述传送处理室的所述半球形底部是以一单一片材料加以制成。
20. 如权利要求19所述的系统,其中所述传送处理室的所述半球形底部至少包含不锈钢材料。
21. 如权利要求20所述的系统,其中所述传送处理室的所述半球形底部具有约0.625英寸的最小厚度。
22. 如权利要求12所述的系统,其中所述传送处理室的所述半球形底部具有一凹形构形,以致所述传送处理室的所述盖件与所述半球形底部的一中央部分间的垂直距离,大于所述盖件与所述半球形底部的一外缘间的垂直距离。
23. 一种形成一传送处理室的一半球形底部的方法,所述传送处理室适于使至少一负载阻隔处理室耦接至至少一处理室,所述方法至少包含下列步骤:
选择一材料;及
以所述材料加以形成一半球形底部,所述半球形底部的外直径的尺寸大小适于密封一传送处理室的一主体的一底部部分,而所述半球形底部具有一孔径,所述孔径的直径尺寸大小配置成适于容纳一机械人手臂的至少一部份,所述机械人手臂适于在耦接至所述传送处理室的至少一处理室与至少一负载阻隔处理室间,加以传送衬底。
24. 如权利要求23所述的方法,其中所述材料为不锈钢材料。
25. 一种传送处理室,所述传送处理室至少包含:
具有侧墙的一主体,适于耦接至至少一处理室与至少一负载阻隔处理室,并容纳一机械人手臂的至少一部份,所述机械人手臂适于在所述至少一处理室与所述至少一负载阻隔处理室间,加以传送一衬底;
一盖件,适于耦接至所述传送处理室的所述主体的一顶部部分,并密封所述顶部部分;
一半球形底部,适于耦接至所述传送处理室的所述主体的一底部部分,并密封所述底部部分,以及允许一机械人手臂至少部分地延伸通过所述半球形底部并进入所述传送处理室;
其中所述半球形底部至少包含:
一圆柱形区域,所述圆柱形区域的高度适于容纳定位于所述传送处理室内的一机械人手臂的一手臂的至少一部份;及
一半球形区域,所述半球形区域具有:
一第一半径部分,具有一第一曲率半径;及
一第二半径部分,在所述第一半径部分与所述圆柱形区域间加以延伸,而所述第二半径部分具有小于所述第一曲率半径的一第二曲率半径。
26. 如权利要求25所述的传送处理室,其中所述第一曲率半径大于所述主体的一半径。
27. 如权利要求26所述的传送处理室,其中所述第一曲率半径约为所述主体的一直径的1.5倍。
28. 如权利要求25所述的传送处理室,其中所述第二曲率半径约为所述半球形区域的厚度的5至20倍。
29. 一种形成一传送处理室的一半球形底部的方法,所述传送处理室适于使至少一负载阻隔处理室耦接至至少一处理室,所述方法至少包含下列步骤:
选择一材料;及
以所述材料加以形成一半球形底部,所述半球形底部具有:
一圆柱形区域,所述圆柱形区域的高度适于容纳定位于所述传送处理室内的一机械人手臂的一手臂的至少一部份;及
一半球形区域,所述半球形区域具有:
一第一半径部分,具有一第一曲率半径;及
一第二半径部分,在所述第一半径部分与所述圆柱形区域间加以延伸,而所述第二半径部分具有小于所述第一曲率半径的一第二曲率半径;
其中,所述半球形底部适于允许所述机械人手臂至少部分地延伸通过所述半球形底部并进入所述传送处理室。
30. 一种传送处理室的组件,用以耦接至少一负载阻隔处理室与至少一处理室,包含:
一半球形底部,具有一外直径尺寸大小配置成适于密封一传送处理室的一主体的一底部部分;以及一孔径,该孔径的直径尺寸大小适于容纳一机械人手臂的至少一部分,所述机械人手臂用以在至少一负载阻隔处理室与至少一耦接所述传送处理室的处理室之间传送多个衬底。
31. 如权利要求30所述的组件,其中所述半球形底部是由不锈钢形成。
32. 一种传送处理室的组件,包含:
一半球形底部,用以耦接并密封所述传送室的一主体的一底部,所述主体具有一侧墙,用以耦接至至少一处理室和至少一负载阻隔处理室,并容纳至少一机械人手臂的一部分,所述机械人手臂用以在所述至少一处理室与所述至少一负载阻隔处理室之间传送一衬底;
其中所述半球形底部包含:
一圆柱形区域,具有一高度用以容纳位于所述传送室内的一机械人手臂的一手臂的一部分;以及
一半球形区域,所述半球形区域具有:
一第一半径部分,具有一第一曲率半径;以及
一第二半径部分,在第一半径部分与圆柱形区域间加以延伸,而所述第二半径部分具有小于所述第一曲率半径的一第二曲率半径;
其中,所述半球形底部适于允许所述机械人手臂至少部分地延伸通过所述半球形底部并进入所述传送处理室。
33. 如权利要求32所述的传送室,其中所述第一曲率半径大于所述主体的一半径。
34. 如权利要求33所述的传送室,其中所述第一曲率半径为所述主体的一直径的约1.5倍。
35. 如权利要求32所述的传送室,其中所述第二曲率半径为所述半球形区域的一厚度的约5-20倍。
CNB038195593A 2002-06-21 2003-06-20 用于真空处理系统的传送处理室 Expired - Fee Related CN100423179C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US39062902P 2002-06-21 2002-06-21
US60/390,629 2002-06-21
US39257802P 2002-06-28 2002-06-28
US60/392,578 2002-06-28

Publications (2)

Publication Number Publication Date
CN1675742A CN1675742A (zh) 2005-09-28
CN100423179C true CN100423179C (zh) 2008-10-01

Family

ID=30003165

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038195593A Expired - Fee Related CN100423179C (zh) 2002-06-21 2003-06-20 用于真空处理系统的传送处理室

Country Status (8)

Country Link
US (2) US7018517B2 (zh)
EP (1) EP1523761A1 (zh)
JP (2) JP4619116B2 (zh)
KR (1) KR100682209B1 (zh)
CN (1) CN100423179C (zh)
AU (1) AU2003245592A1 (zh)
TW (1) TWI294155B (zh)
WO (1) WO2004001817A1 (zh)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003245592A1 (en) * 2002-06-21 2004-01-06 Applied Materials, Inc. Transfer chamber for vacuum processing system
US20050050767A1 (en) * 2003-06-06 2005-03-10 Hanson Kyle M. Wet chemical processing chambers for processing microfeature workpieces
US7313462B2 (en) * 2003-06-06 2007-12-25 Semitool, Inc. Integrated tool with automated calibration system and interchangeable wet processing components for processing microfeature workpieces
US7393439B2 (en) * 2003-06-06 2008-07-01 Semitool, Inc. Integrated microfeature workpiece processing tools with registration systems for paddle reactors
US20050063798A1 (en) * 2003-06-06 2005-03-24 Davis Jeffry Alan Interchangeable workpiece handling apparatus and associated tool for processing microfeature workpieces
US20070144912A1 (en) * 2003-07-01 2007-06-28 Woodruff Daniel J Linearly translating agitators for processing microfeature workpieces, and associated methods
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7354845B2 (en) * 2004-08-24 2008-04-08 Otb Group B.V. In-line process for making thin film electronic devices
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US8648977B2 (en) 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
KR100716041B1 (ko) * 2004-06-02 2007-05-09 어플라이드 머티어리얼스, 인코포레이티드 챔버를 밀봉하기 위한 방법 및 장치
WO2006130811A2 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Electronic device manufacturing chamber and methods of forming the same
WO2007094617A1 (en) * 2006-02-14 2007-08-23 Brooks Automation Asia Ltd. Transfer chamber for vacuum processing apparatus of substrate
US20080178460A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Protected magnets and magnet shielding for processing microfeature workpieces, and associated systems and methods
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US7867379B2 (en) * 2007-08-28 2011-01-11 Exxonmobil Research And Engineering Company Production of an upgraded stream from steam cracker tar by ultrafiltration
US7815790B2 (en) 2007-08-28 2010-10-19 Exxonmobil Research And Engineering Company Upgrade of visbroken residua products by ultrafiltration
US7736493B2 (en) * 2007-08-28 2010-06-15 Exxonmobil Research And Engineering Company Deasphalter unit throughput increase via resid membrane feed preparation
US8864996B2 (en) * 2007-08-28 2014-10-21 Exxonmobil Research And Engineering Company Reduction of conradson carbon residue and average boiling points utilizing high pressure ultrafiltration
US7897828B2 (en) * 2007-08-28 2011-03-01 Exxonmobile Research And Engineering Company Process for separating a heavy oil feedstream into improved products
US8177965B2 (en) * 2007-08-28 2012-05-15 Exxonmobil Research And Engineering Company Enhancement of saturates content in heavy hydrocarbons utilizing ultrafiltration
US7871510B2 (en) * 2007-08-28 2011-01-18 Exxonmobil Research & Engineering Co. Production of an enhanced resid coker feed using ultrafiltration
KR101446225B1 (ko) * 2008-07-11 2014-10-01 주식회사 원익아이피에스 진공처리시스템의 반송챔버
FR2933812B1 (fr) * 2008-07-11 2010-09-10 Alcatel Lucent Dispositif de chargement/dechargement de substrats
EP2293321A1 (en) * 2009-09-08 2011-03-09 Applied Materials, Inc. Mechanical modularity chambers
TWI416659B (zh) * 2010-12-31 2013-11-21 Advanced Micro Fab Equip Inc A mounting device for a vacuum processing system
PL220339B1 (pl) 2012-07-12 2015-10-30 Inst Chemii Fizycznej Polskiej Akademii Nauk Przenośna walizka próżniowa z wziernikiem
JP2015038967A (ja) * 2013-07-17 2015-02-26 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び描画チャンバ
KR101542169B1 (ko) 2014-08-28 2015-08-05 주식회사 원익아이피에스 진공처리시스템의 반송챔버
US11948810B2 (en) * 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
KR102100775B1 (ko) * 2018-03-14 2020-04-14 우범제 이에프이엠
KR102132422B1 (ko) * 2018-03-14 2020-08-05 우범제 이에프이엠
CN109609910B (zh) * 2019-01-10 2021-04-13 深圳市致远动力科技有限公司 薄膜电池制备装置及方法
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4790750A (en) * 1986-02-12 1988-12-13 Stein Heurtey Automated flexible installation for a rapid thermochemical treatment
US6383330B1 (en) * 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber

Family Cites Families (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1381877A (en) * 1919-05-12 1921-06-14 Edward T Neyhard Knockdown tank
US2761582A (en) * 1950-08-01 1956-09-04 Moorex Ind Inc Demountable structure
US3925679A (en) 1973-09-21 1975-12-09 Westinghouse Electric Corp Modular operating centers and methods of building same for use in electric power generating plants and other industrial and commercial plants, processes and systems
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4483654A (en) 1981-02-13 1984-11-20 Lam Research Corporation Workpiece transfer mechanism
AU555553B2 (en) * 1981-10-27 1986-10-02 Arthur Malcolm Bennett Valve member
DE3219502C2 (de) * 1982-05-25 1990-04-19 Ernst Leitz Wetzlar Gmbh, 6330 Wetzlar Vorrichtung zum automatischen Transport scheibenförmiger Objekte
US4851058A (en) * 1982-09-03 1989-07-25 General Motors Corporation High energy product rare earth-iron magnet alloys
US4455177A (en) * 1982-09-13 1984-06-19 Filippov Vladimir I Method and apparatus for chemical heat treatment of steel parts utilizing a continuous electric furnace
US4491520A (en) * 1984-02-22 1985-01-01 Jaye Richard C Filter for water jugs
US4632624A (en) * 1984-03-09 1986-12-30 Tegal Corporation Vacuum load lock apparatus
US4726924A (en) * 1984-06-28 1988-02-23 The Boeing Company Method of planar forming of zero degree composite tape
DE3466135D1 (en) * 1984-10-16 1987-10-15 Ibm Vacuum transfer device
JPS61152987A (ja) * 1984-12-26 1986-07-11 Nippon Piston Ring Co Ltd 回転式流体ポンプ用ロ−タの製造方法
EP0555891B1 (en) 1985-10-24 1999-01-20 Texas Instruments Incorporated Vacuum processing system and method
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4763690A (en) * 1986-07-29 1988-08-16 Harsco Corporation Leak-proof valve for gas cylinders
FR2620049B2 (fr) * 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
KR900005610Y1 (ko) * 1987-04-16 1990-06-28 이형곤 차압 2중 진공 씨스템
US4799418A (en) * 1987-08-21 1989-01-24 Mitsuba Electric Mfg. Co., Ltd. Vacuum actuator for vehicle speed control
US4851101A (en) * 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5138525A (en) * 1991-06-14 1992-08-11 Dell Usa Corporation Multi-purpose strut for digital computer chassis
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5152504A (en) * 1991-09-11 1992-10-06 Janis Research Company, Inc. Vacuum valve
JPH05347130A (ja) * 1992-06-15 1993-12-27 Mitsubishi Electric Corp 陰極線管
US5269598A (en) 1992-10-08 1993-12-14 Enlight Corporation Personal computer component support structure
US5503809A (en) * 1993-04-19 1996-04-02 John T. Towles Compact ozone generator
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JP3413875B2 (ja) * 1993-06-18 2003-06-09 石川島播磨重工業株式会社 圧力容器
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
JP3158264B2 (ja) * 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JPH0864542A (ja) 1994-08-25 1996-03-08 Plasma Syst:Kk 半導体処理装置用真空チャンバーおよびその製造方法
JPH08152493A (ja) * 1994-11-29 1996-06-11 Toshiba Corp 原子炉圧力容器
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
JPH09102526A (ja) * 1995-10-05 1997-04-15 Kokusai Electric Co Ltd 真空内基板搬送装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6216328B1 (en) * 1996-07-09 2001-04-17 Lam Research Corporation Transport chamber and method for making same
US5746434A (en) * 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
JPH1064902A (ja) * 1996-07-12 1998-03-06 Applied Materials Inc アルミニウム材料の成膜方法及び成膜装置
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6045620A (en) * 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
JPH1189027A (ja) * 1997-09-08 1999-03-30 Hitachi Ltd スイッチギヤ
JPH1189026A (ja) * 1997-09-08 1999-03-30 Hitachi Ltd スイッチギヤ
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6530732B1 (en) * 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber
US5913568A (en) * 1997-09-30 1999-06-22 Brightbill; Stephen T. Two platform motion seat
DE69838484T2 (de) 1997-11-03 2008-06-26 Asm America Inc., Phoenix Hochtemperatur-prozesskammer mit langer lebensdauer
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JPH11186363A (ja) * 1997-12-24 1999-07-09 Shin Etsu Handotai Co Ltd 半導体製造装置
US5931626A (en) * 1998-01-16 1999-08-03 Brooks Automation Inc. Robot mounting de-coupling technique
JP2000345349A (ja) 1999-06-04 2000-12-12 Anelva Corp Cvd装置
US6230719B1 (en) * 1998-02-27 2001-05-15 Micron Technology, Inc. Apparatus for removing contaminants on electronic devices
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
CA2328295A1 (en) * 1998-04-14 1999-10-21 Jack P. Salerno Film deposition system
US6019839A (en) * 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP4526136B2 (ja) * 1998-06-08 2010-08-18 株式会社日立国際電気 被処理物搬送装置、半導体製造装置及び被処理物の処理方法
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US6267917B1 (en) * 1998-10-16 2001-07-31 Norstar Aluminum Molds, Inc. Rotatable mold apparatus having replaceable molds and replacement methods
KR100280519B1 (ko) 1998-11-17 2001-03-02 김영환 반도체 유기금속 화학기상증착장비의 가스 분사장치
US6143079A (en) 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2000167788A (ja) * 1998-12-07 2000-06-20 Nsk Ltd 搬送ロボット装置
JP3433392B2 (ja) 1999-01-12 2003-08-04 セントラル硝子株式会社 クリーニングガス及び真空処理装置のクリーニング方法
US6267545B1 (en) * 1999-03-29 2001-07-31 Lam Research Corporation Semiconductor processing platform architecture having processing module isolation capabilities
JP2000286319A (ja) * 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
US6099697A (en) * 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
US6245149B1 (en) * 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
CA2387341A1 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
JP3949328B2 (ja) * 1999-11-02 2007-07-25 富士フイルム株式会社 画像形成方法
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6494959B1 (en) * 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
FR2805243B1 (fr) * 2000-02-22 2002-07-26 Tfe Tech Et Fabrications Elect Dispositif formant embout pour tuba ou de detenteur de plongee
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
US6582175B2 (en) * 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP2002001100A (ja) 2000-06-22 2002-01-08 Mitsubishi Heavy Ind Ltd プラズマ処理装置
JP4253107B2 (ja) 2000-08-24 2009-04-08 キヤノンアネルバ株式会社 基板処理装置及びその増設方法
KR100388294B1 (ko) 2000-08-30 2003-06-19 앰코 테크놀로지 코리아 주식회사 회로기판용 폴리이미드 위에 금속 박막을 증착하는 방법
JP5159010B2 (ja) 2000-09-08 2013-03-06 株式会社半導体エネルギー研究所 発光装置の作製方法
JP3640609B2 (ja) * 2000-10-16 2005-04-20 アルプス電気株式会社 プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法
JP3729095B2 (ja) * 2001-06-29 2005-12-21 日産自動車株式会社 走行路検出装置
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP2003117655A (ja) 2001-10-12 2003-04-23 Toyo Jigu:Kk 真空容器
TW522448B (en) * 2001-10-22 2003-03-01 Advanced Semiconductor Eng Semiconductor wafer carrying apparatus
WO2003038145A2 (en) * 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
US20040221811A1 (en) 2001-11-30 2004-11-11 Robert Mitchell Method and apparatus for processing wafers
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
JP2003188226A (ja) * 2001-12-18 2003-07-04 Anelva Corp 真空搬送処理装置
KR20030066118A (ko) 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
JP4254116B2 (ja) * 2002-03-22 2009-04-15 東京エレクトロン株式会社 位置合わせ用基板
KR20030077803A (ko) 2002-03-27 2003-10-04 삼성전자주식회사 반도체제조설비에 사용되는 가스분배장치
AU2003245592A1 (en) * 2002-06-21 2004-01-06 Applied Materials, Inc. Transfer chamber for vacuum processing system
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7204669B2 (en) * 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
JP3989382B2 (ja) 2003-02-05 2007-10-10 東京エレクトロン株式会社 基板処理装置
JP4283559B2 (ja) * 2003-02-24 2009-06-24 東京エレクトロン株式会社 搬送装置及び真空処理装置並びに常圧搬送装置
JP4219799B2 (ja) * 2003-02-26 2009-02-04 大日本スクリーン製造株式会社 基板処理装置
JP2004335743A (ja) 2003-05-08 2004-11-25 Ulvac Japan Ltd 真空処理装置用真空チャンバー
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
JP4450664B2 (ja) 2003-06-02 2010-04-14 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
KR100441875B1 (ko) 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
CN1829435A (zh) * 2003-07-31 2006-09-06 昭和电工株式会社 种子包衣组合物、包衣的种子和包衣方法
US7313262B2 (en) 2003-08-06 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for visualization of process chamber conditions
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7384992B2 (en) * 2003-11-10 2008-06-10 Meadwestvaco Corporation Rosin-fatty acid ester vinylic polymers
US20050113976A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
TWI252070B (en) * 2004-03-10 2006-03-21 Delta Electronics Inc Pulling structure for an optical transmitting module
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
CN101866828B (zh) 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
KR100768741B1 (ko) 2004-08-13 2007-10-19 주식회사 이오테크닉스 레이저와 비전의 동축 가공장치
TWI287279B (en) 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
JP4791110B2 (ja) 2005-09-02 2011-10-12 東京エレクトロン株式会社 真空チャンバおよび真空処理装置
JP5030970B2 (ja) * 2005-12-20 2012-09-19 アプライド マテリアルズ インコーポレイテッド 半導体デバイス製造装置のための拡張型メインフレーム設計
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
KR100769152B1 (ko) 2006-09-25 2007-10-22 동부일렉트로닉스 주식회사 반도체 소자의 와이어 패드

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4790750A (en) * 1986-02-12 1988-12-13 Stein Heurtey Automated flexible installation for a rapid thermochemical treatment
US6383330B1 (en) * 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber

Also Published As

Publication number Publication date
JP2011018923A (ja) 2011-01-27
WO2004001817A1 (en) 2003-12-31
CN1675742A (zh) 2005-09-28
KR100682209B1 (ko) 2007-02-12
JP4619116B2 (ja) 2011-01-26
JP5204821B2 (ja) 2013-06-05
WO2004001817A9 (en) 2004-07-01
JP2005531149A (ja) 2005-10-13
EP1523761A1 (en) 2005-04-20
US20040055537A1 (en) 2004-03-25
US7018517B2 (en) 2006-03-28
US8033772B2 (en) 2011-10-11
KR20050013597A (ko) 2005-02-04
US20060157340A1 (en) 2006-07-20
TW200403794A (en) 2004-03-01
AU2003245592A1 (en) 2004-01-06
TWI294155B (en) 2008-03-01

Similar Documents

Publication Publication Date Title
CN100423179C (zh) 用于真空处理系统的传送处理室
CN101395711B (zh) 纵式基板运送装置及成膜装置
CN100573803C (zh) 用于非常大面积基片的真空处理室
TW541580B (en) Triple chamber load lock
US8033245B2 (en) Substrate support bushing
US8070408B2 (en) Load lock chamber for large area substrate processing system
US9252037B2 (en) Vacuum treatment apparatus and a method for manufacturing
US7159846B2 (en) Slit valve for a semiconductor processing system
US9349621B2 (en) Vacuum seal arrangement useful in plasma processing chamber
WO2017132002A1 (en) Ceramic slit valve doors and assemblies
CN101842514A (zh) 用以密封工艺腔室的开口的方法与装置
TW200407513A (en) Gate valve assembly
WO2010014553A2 (en) Concrete vacuum chamber
US6786935B1 (en) Vacuum processing system for producing components
CN109844383A (zh) 用于真空密封的锁定阀、真空腔室以及真空处理系统
US20100014945A1 (en) Semiconductor processing apparatus having all-round type wafer handling chamber
JP2010267750A (ja) 真空搬送装置
US20060286801A1 (en) Process chamber assembly and apparatus for processing a substrate
KR20070090640A (ko) 플라즈마 처리장치
JP2002368075A (ja) 容器および容器の封止方法
JPH0330320A (ja) 気相化学反応生成装置のロードロック機構
JPH04307733A (ja) 半導体処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081001

CF01 Termination of patent right due to non-payment of annual fee