JP2011018923A - 真空処理システムのための搬送チャンバ - Google Patents

真空処理システムのための搬送チャンバ Download PDF

Info

Publication number
JP2011018923A
JP2011018923A JP2010196861A JP2010196861A JP2011018923A JP 2011018923 A JP2011018923 A JP 2011018923A JP 2010196861 A JP2010196861 A JP 2010196861A JP 2010196861 A JP2010196861 A JP 2010196861A JP 2011018923 A JP2011018923 A JP 2011018923A
Authority
JP
Japan
Prior art keywords
transfer chamber
dome
chamber
shaped bottom
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010196861A
Other languages
English (en)
Other versions
JP2011018923A5 (ja
JP5204821B2 (ja
Inventor
Shinichi Kurita
シンイチ クリタ
Emanuel Beer
エマニュエル ビアー
Hung T Nguyen
ハング ティー ヌグエン
Wendell T Blonigan
ウェンデル ティー ブロニガン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011018923A publication Critical patent/JP2011018923A/ja
Publication of JP2011018923A5 publication Critical patent/JP2011018923A5/ja
Application granted granted Critical
Publication of JP5204821B2 publication Critical patent/JP5204821B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】従来の比較可能なサイズの搬送チャンバの平坦な底部より薄く形成することができ、費用と重量を低減する。
【解決手段】基板処理ツール201の搬送チャンバ203は、少なくとも一の処理チャンバ17と少なくとも一のロードロックチャンバ15に連結される側壁部215を有する本体部207を有している。本体部は、少なくとも一の処理チャンバと少なくとも一のロードロックチャンバの間で基板を搬送するために用いられるロボット205の少なくとも一部を収容している。蓋部227は、搬送チャンバの本体の上部に連結されてこれを封止している。搬送チャンバは、搬送チャンバの本体の底部に連結されてこれを封止するために用いられるドーム型底部233を有している。
【選択図】図2

Description

関連出願
本出願は、2002年6月21日に「真空処理システムのための搬送チャンバ」の名称で出願された米国特許仮出願番号第60/390,629号及び2002年6月28日に「真空処理システムのための搬送チャンバ」の名称で出願された米国特許仮出願番号60/392,578号に基づく優先権を主張するものであり、これらの出願は引用によって本明細書中に全体として一体化される。
発明の分野
本発明は、一般に、基板処理に用いられる処理システムに係り、特に、このようなシステムで用いられる搬送チャンバに関する。
発明の背景
フラットパネルディスプレイ又は半導体デバイスの製造に用いられる従来の技術は、ガラス基板又はシリコンウエハのような基板に対し一連の処理を施すことを必要とする。用いられる処理には、熱処理、物理的気相蒸着(PVD)、化学的気相蒸着(CVD)、エッチング等が含まれる。典型的には、一連の処理における各々の処理は、各々の処理チャンバ内で行われる。従って、処理が行われる基板は、一の処理チャンバから他の処理チャンバへ搬送されなければならない。
従来より、中央の搬送チャンバの周辺で処理チャンバを連結することにより、単一の処理ツール内に多数の異なる処理チャンバを一体化することは行われている。図1は従来の処理ツール11の概略縦断面図である。処理ツール11は中央に位置する搬送チャンバ13を備えている。ロードロックチャンバ15及び処理チャンバ17は搬送チャンバ13の各々の側部に連結して示されている。図示されていないが、一又はそれ以上の追加的な処理チャンバ及び/又はロードロックチャンバを搬送チャンバ13の各々の側部に連結してもよい。ロードロックチャンバ15は、処理ツール11の外部から処理ツール11内へ基板を導入するために設けられている。
搬送チャンバ13は、側壁21(図1において2個のみが示されている)を有する本体部19を備えている。各々の側壁部21はロードロック又は処理チャンバが連結されるように構成されている。搬送チャンバ13は、本体19上に支持されている上部23を含む。搬送チャンバ13の上部23を気密的に閉鎖するため蓋部25が設けられている。
搬送チャンバ13の下端部は実質的に環状の底部27によって閉鎖されている。搬送チャンバ17の底部27は中央開口部29を有し、これを介して搬送チャンバ13内に基板ハンドリングロボット31が設置されている。基板ハンドリングロボット31は、処理チャンバ17及びロードロックチャンバ又は搬送チャンバ13に連結されているチャンバ15内で基板を搬送するよう構成されている。
処理ツール11内で処理される基板の汚染の可能性を最小にするため、搬送チャンバの内部は通常、真空状態に維持されている。従って、処理ツール11は真空処理システムと称されることができる。図示されていないポンプシステムを搬送チャンバ13に連結し、これによって搬送チャンバ13を好ましい真空レベルまで減圧することが可能である。
図1には、処理チャンバ17と共働するスリットバルブ35を選択的に開口し及び閉鎖するためのアクチュエータ33が示されている。スリットバルブ35が開口位置にある場合(図示せず)、基板を処理チャンバ17内に導入し又は排出することができる。スリットバルブ35は図1に示されるように閉鎖位置にある場合、処理チャンバ17は搬送チャンバ13から分離され、処理チャンバ17内の基板上で製造処理が行われる。
処理ツール、特に、搬送チャンバ部分は様々な大きさに製造することができる。ある場合、搬送チャンバ13は極めて大型であることが必要であり又は好ましい。例えば、フラットパネルディスプレイの製造に用いられる処理ツールにおいて、現在処理されるガラス板基板は一辺が約0.5―1.5メートルの範囲であり、近い将来には2―3メートルになる可能性がある。従って、このような分野に応用するためには、極めて大型の搬送チャンバが必要になる。加えて、処理ツール内における処理チャンバ及び/又はロードロックチャンバの数を増加することが望まれており、これに伴い搬送チャンバを大型化することが要求されている。しかしながら、搬送チャンバを大型化すると、搬送チャンバの底部のような部分において、真空に起因するストレスが増大する。このようなストレスに対応するため、搬送チャンバの底部の厚さを増大することにより、十分な強度を得ることが可能である。しかしながら、搬送チャンバの底部の厚さを増大すると、重量が増加し、製造が困難になり、コストが高くなるという結果が生じる。
発明の要約
本発明の第1の態様によれば、少なくとも一の処理チャンバと少なくとも一のロードロックチャンバに連結される側壁部を有する本体部を含む搬送チャンバが提供される。本体は、少なくとも一の処理チャンバと少なくとも一のロードロックチャンバの間で基板を搬送するために用いられるロボットの少なくとも一部を収容するために用いられる。本発明の搬送チャンバは、搬送チャンバの本体の上部に連結されてこれを封止するために用いられる蓋部を備えている。本発明の搬送チャンバは、搬送チャンバの本体の底部に連結されてこれを封止するために用いられるドーム型底部とを備えている。
本発明の第2の態様によれば、真空処理システムは、本発明の第1の態様との関係で説明された搬送チャンバを含む。また、本発明の真空処理システムは、搬送チャンバの本体に連結された少なくとも一の処理チャンバと、搬送チャンバの本体に連結された少なくとも一の搬送チャンバとを含む。本発明の真空処理システムは、ドーム型底部を介して搬送チャンバ内に少なくとも部分的に延伸しているロボットを含む。このロボットは、搬送チャンバを介して少なくとも一の処理チャンバと少なくとも一のロードロックチャンバの間で基板を搬送するために用いられる。
本発明の第3の態様によれば、搬送チャンバのドーム型底部を形成する方法が提供される。搬送チャンバは、少なくとも一のロードロックチャンバを少なくとも一の処理チャンバに連結するために用いられる。この方法は、材料を選択し、材料からドーム型底部を形成することを含む。ドーム型底部は、搬送チャンバの本体の底部に合致しこれを封止するサイズの外径を有する。ドーム型底部は、ロボットの少なくとも一部を収容するサイズの直径の穴部を有する。ロボットは、搬送チャンバに連結された少なくとも一のロードロックチャンバと少なくとも一の処理チャンバの間で基板を搬送するために用いられる。
本発明により提供される搬送チャンバ底部はドーム型構造を有しているので、本発明の搬送チャンバ底部は、平坦構造を有する同じ厚さの搬送チャンバ底部より大きな強度を有する。従って、本発明の搬送チャンバ底部は、従来の比較可能なサイズの搬送チャンバの平坦な底部より薄く形成することができ、費用と重量を低減することができる。
本発明の更なる構成及び効果は、以下の実施例の詳細な説明、特許請求の範囲及び添付図面からより明らかになる。
従来の真空処理システムの縦断面図である。 本発明の第1実施例に係る真空処理システムの縦断面図である。 図2の本発明の真空処理システムの一部である搬送チャンバの分解図である。 図2及び図3の搬送チャンバの一実施例の簡略概略側面図である。 本発明の他の実施例に係る真空処理システムの概略縦断面図である。
詳細な説明
本発明によれば、搬送チャンバの底部はドーム型形状に形成されており、これによって所定の底部の厚さでより大きな強度を得ることができ、搬送チャンバの内部の容積を減少することができる。その結果、搬送チャンバの底部を従来の搬送チャンバの底部より薄くし、コスト及び重量を低減することが可能になる。搬送チャンバの内部容積を減少することにより、ポンプにより減圧を行う時間を低減し、これによって生産性を向上することができる。
図2及び図3を参照して、本発明の実施例について説明する。
図2は、本発明の実施例に係る処理ツール(真空処理システム)201の図1に類似する図面である。本発明に係る処理ツール201は新規な搬送チャンバ搬送チャンバ203を有する。従来のロードロックチャンバ15(例えば、ダブルデュアルスロットロードロック(DDSL)又は他の従来のロードロックであってもよい。)及び従来の処理チャンバ17が、本発明の搬送チャンバ203に連結されているように示されている。図示されていないが、1又はそれ以上の追加的な搬送チャンバ及び/又はロードロックチャンバが搬送チャンバ203に連結されていてもよい。基板ハンドリングロボット205が搬送チャンバ203内に配設されている。図1に示される従来システムのように、ポンプシステム(図示せず)を本発明の搬送チャンバ203に連結し、これによって搬送チャンバ203を適切な真空状態まで排気してもよい。説明を容易にするため、本発明の搬送チャンバ203を図2及び図3(本発明の搬送チャンバ203の拡大図である。)の両方を参照して説明する。
図2及び図3において、搬送チャンバ203は本体207を含み、これは例えばこれはアルミニウム又は他の物質の単一体を機械加工したものを用いることができる。以下に示されるように、本発明の少なくとも一の実施例において、本体207の高さ(Hmb)は本体207の全体的な体積及び重量を低減するように最小化されている。本体207は円筒型内壁部209と、側壁215を形成する平坦領域213を有する外壁部を有し、側壁部は処理チャンバ又はロードロックチャンバに連結されることができる。少なくとも一の実施例において、各々の側壁部215(図2)は、例えば、最も薄い部分(各々の平坦領域213の中心)において約2インチの厚さを有している。他の側壁の厚さを採用することも可能である。各々の側壁215は1又はそれ以上のスリット217(図3)を有し、これを介して基板は基板ハンドリングロボット205により搬送チャンバ203から処理チャンバ17まで又はその逆方向に搬送される。スリットバルブ(図2及び図3で図示せず)をスリットと共動させ、これによって各々のスリット217を開口し及び閉鎖するようにしてもよい。図2及び図3の実施例において、搬送チャンバ203は2つのスリット217a、217bを有し、これによって基盤は2つの異なる高さでロードロックチャンバ15に装填され又は排出される。これらのスリット217a、217bは、例えば、従来のゲートバルブ219a、219b(図2)で封止することができる。
図2又は図3に図示されていないが、搬送チャンバ203はその内部で図1のスリットバルブアクチュエータ33のようなスリットバルブアクチュエータを用いることができ、これによってスリット217を封止し又は開口することができる(例えば、従来の45°型スリットバルブ)。また、少なくとも一の実施例において、従来のゲートバルブ(例えば、垂直方向に移動する外部ゲートバルブ221(図2))を搬送チャンバ203の外側に配置し、スリット217を封止し及び開口するようにしてもよい。このような構成により、搬送チャンバ203のドーム型底部(以下に説明する)の設計を単純化することができる。
再び図3において、本発明に係る搬送チャンバ203は、環状に形成された上部部材223を有しており、これは第1O−リング225を介して本体207の上部に気密的に連結されることができる。本発明に係る搬送チャンバ203は蓋部227を含むことができ、これは第2O−リング231を介して上部部材223の開口部229を気密的に閉鎖するために設けられる。搬送チャンバ203の上部の他の封止構造においては、他の封止機構を採用することも可能である。
本発明において、搬送チャンバ203は、図2に最も明瞭に示されるように、ドーム型形状を有する底部233を含む。図2から明らかなように、底部部材233は、搬送チャンバ203の蓋部227と底部部材233の中央部の間の距離が蓋部277と底部部材233の外側端部との間の垂直距離より大きくなるような凹面形状を有している。本発明の一実施例において、底部部材233はステンレスのような物質の単一体から機械加工されることができる。他の材料及び/又は形状を採用することも可能である。底部部材233を形成するために用いることができる技術は、例えば、「構成要素の製造のための真空処理システム」の名称で2000年3月10日に出願された継続中の米国特許出願第09/523、366号に開示されており、これは引用によって全体として本明細書に一体化される。このような技術は、例えば、スピニング、ローリングのような技術を含む。
一実施例において、底部部材233は、平坦な構造を有し、比較対象となる(例えば、直径約2.6メーターの)従来の底部部材の3インチの厚さと比較して、約0.5〜0.625インチの厚さ(例えば、ドーム型領域において)を有することができる。他の厚さも採用することができる。
再度図3において、底部部材233は、底部部材233の外側端部235及び第3O−リング237を介して本体207の底部に連結され、これを封止している。底部部材233は、一般的な円形の中央開口部239を有している。外側端部235及び中央開口部239は底部部材233の他の部分より厚く形成され(例えば、上述した実施例においては約2平方インチ)、ドーム型部分とは分離して形成され、これに連結されていてもよい(例えば、溶接)。センサー、真空ポート、ガスポート等を収容するため、種々の開口部及び/又表面構成241を設けることもできる。第4O−リング245を介して底部部材233の中央開口部239を封止するため、環状プラグ部材243が設けられている。このプラグ部材243は、基板ハンドリングロボット205(図2)の一部を気密的に収容するサイズの中央開口部247を有しており、基板ハンドリングロボットはプラグ部材243の中央開口部247及び底部部材233の中央開口部239を介して伸びている。第5O−リング249(図3)は、ロボット205の周りでプラグ部材243の中央開口部247を封止する。O−リング237、245、249の代わりに又はこれに追加して他の封止機構を設けることも可能である。
本発明は、底部がドーム型形状を有する搬送チャンバを提供する。従って、搬送チャンバのサイズによって、ドーム型の底部は、従来の平坦な底部より薄い物質で形成することができる。その結果、搬送チャンバ底部のコスト及び重量を低減することができる。これは、フラットパネルディスプレイ製造用のガラス基板を処理する処理ツールで用いられる超大型搬送チャンバの場合に最も顕著となる。このような設計により、搬送チャンバの下方の未使用空間を利用することができ、上部高さ制限(例えば、工場内上部搬送システム、天井の高さ等)の影響を受けなくなる。
フラットパネル産業が成熟し続けるにつれて、搬送チャンバ(搬送チャンバ203のようなもの)により搬送されるガラス基板は大型化し続けている。現在のガラス基板の大きさは一辺が0.5〜1.5mの範囲である。しかしながら、より大きなガラス基板も開発されている(例えば一辺が約2〜3m)。ガラス基板の大型化は、より直径の大きな搬送チャンバ(及びこのような大型基板を処理するためのより大型のロードロックチャンバ及び処理チャンバ)を必要としている。近い将来、搬送チャンバの平坦領域(例えば、図3における平坦領域213)は、同様のサイズのガラス基板を収容するため、約2〜4mより大きな寸法に達している可能性がある。搬送、ロードロック及び処理チャンバが大型化すると、搬送チャンバの設計に種々の要素を考慮する必要が生じ、これらには、ロボットのサイズ、搬送チャンバの容積、搬送チャンバ下方の利用可能空間、搬送チャンバ内に生じる真空力、搬送チャンバの重量、搬送チャンバのコストのようなものが含まれる。
図4は、図2及び図3の搬送チャンバ203の一実施例の簡略化された概略側面図である。図4において、搬送チャンバ203は、少なくとも1のロードロックチャンバ401と少なくとも1の処理チャンバ403に連結され、上述した本体207を有している。説明を明確にするため、図4においては、搬送チャンバ203及びロードロック及び処理チャンバ401、403の支持構造は示されていない。
搬送チャンバ203の設計に関連する一つのパラメーターは、ドーム型底部233を収容するための搬送チャンバ203の下部の利用可能空間である。図4において、搬送チャンバ203は最小搬送高HTRを有し、これは基板が搬送チャンバ203内で搬送される最低高さを表している。最小搬送高HTRは、工業基準又は搬送チャンバ203を用いる製造設備の要求基準のようなものであってもよい。図4に示される例において、最小搬送高HTRは、搬送チャンバ203が配置されている施設(図示せず)のフロア405との関係で決定される。フロア405は、例えば、クリーンルームのフロア、上昇している床又は搬送チャンバ203の下部の空間/面積/高さの下端であってもよい。
最小搬送高HTRは、フロア405上に位置する搬送チャンバ203の高さ(HF)を設定する。ドーム型底部233の収容に用いることができる搬送チャンバ203の下部の体積は、搬送チャンバ203の本体207の内径(DMB)と等しい直径と、フロア405上の搬送チャンバの高さ(HF)に等しい高さを有する円筒の体積と実質的に同一である。
図4に示されるように、搬送チャンバ203のドーム型底部233は高さHD1を有する円筒領域233aと高さDを有するドーム領域233bを含んでいる。従って、ドーム型底部233の容積は、円筒領域233aの容積に、ドーム型領域233bの容積を加えたものにほぼ等しい。
多くの場合、搬送チャンバ203はできるだけ小さな容積を有していることが好ましい(例えば、減圧回数を減少し、これによって生産性を向上させるため)。ドーム形状は円筒形状より小さな容積を有しているので(同一の所定の空間領域において)、真空を考慮すると、ドーム型の搬送チャンバの部分を最大化することが望ましい(例えば、ドーム型底部233のドーム領域233bを大きくし、ドーム型底部233の円筒領域233aの高さHD1及び本体207の高さHMBの両方を減少する)。しかしながら、本体207の高さHMB及びドーム型底部233の円筒領域233aの高さHD1の選択には他の要素も影響を与える。例えば、搬送チャンバ203の本体207の高さHMBは、本体207に連結されるロードロックチャンバ及び/又は処理チャンバを収容するのに十分大きくなければならない。本発明の少なくとも一の実施例において、本体207の高さ(HMB)は、例えば、ロードロックチャンバ401との境界を形成するスリット開口217a、217bを収容するのに必要な最小高さに基づいて設定することができる。
ドーム型底部233の円筒領域233aの高さHD1について、高さHD1は、基板搬送ロボット205(図2)の高さを収容するのに十分大きくなければならない。図2に示されるように、基板搬送ロボットは第1アーム205a及び第2アーム205bを含んでいる。基板搬送ロボット205が、搬送チャンバ203の本体207の開口217bを介する場合のように、最小搬送高さHTR付近の高さで基板を搬送するために位置している場合、ドーム型底部233の円筒領域233aの高さHD1は、ロボット205の第2アーム205bがドーム型底部233のドーム領域233b内へ延伸するのを防止するのに十分な大きさでなければならない(ロボット205が図2に示されるように収縮位置にあるか又は図1のロボット31で示されるような延伸位置にあるかに拘わらない)。ドーム型底部233の円筒領域233aの高さHD1が、第2アーム205bがドーム型底部233のドーム型領域233b内に延伸するのを防止するのに十分な大きさでない場合、ドーム型領域233bは第2アーム205bに接触し、その作用に干渉するかもしれない。ガラス基板及び搬送チャンバの大きさが増大すると、各々のロボットアーム205a、205bの硬度(及びそれゆえ厚さ)は典型的には増大する。ドーム型底部233の円筒領域233bの高さ(HD1)の増大分は、ロボットアームのサイズの増大分を埋め合わせるために用いることができる。
搬送チャンバ203のデザインに影響を与える他の要因は、ドーム型底部233に要求される強度である。搬送チャンバの大きさが増大すると、搬送チャンバの排気時にドーム型底部233にかかる力も増大する。真空力はドーム型底部233の外側235に最もかかり、ドーム型底部233は、搬送チャンバ203の本体207及び/又はロボット205(図2)に対してドーム型底部233を封止する能力に影響を与える可能性がある真空に起因する歪に対抗する十分な強度を有していなければならない。
強度の点からすると、ドーム型底部233には球形が好ましい(図4のドーム型底部233´で示されている)。このような形状は、本体207の直径(DMB)の半分の曲率半径(RD1’)を採用することにより達成することができる。しかしながら、図4に示されるように、ドーム型底部233の球形構造は、搬送チャンバ203の下方により大きな空間を必要とし(例えば、フロア405又は他の空間制限の影響を受ける可能性がある。)、結果的に搬送チャンバはより大きな体積を有することになる。ドーム型底部233のスペース/体積要求を減少するため、ドーム型底部233のドーム領域233aの第1部分407には、より大きな曲率半径(RD1)を採用することができる。少なくとも一の実施例において、ドーム型底部233の第1部分407の曲率半径RD1は、搬送チャンバ203の本体207の直径DMBの半分より大きい。特定の実施例において、曲率半径RD1は、搬送チャンバ203の本体207の直径DMBの約1.5倍である。他の数値も用いることも可能である。曲率半径RD1の選択は、例えば、搬送チャンバ203下方の空間、ドーム型底部233に用いられている物質の強度のような多くの要因に依存する。
ドーム型底部233の第1部分407の曲率半径RD1が搬送チャンバ203の本体の半径DMBの半分より大きい場合、ドーム型底部233は曲率半径RD2を有する第2半径部409を有していてもよい。この追加的な曲率半径は、ドーム型底部233の第1部分407の曲率半径RD1と本体207の半径(DMBの半分)との間のミスマッチを補償する。本発明の少なくとも一の実施例において、第2部409の曲率半径RD2はドーム型底部233(最も薄い部分)の厚さの約5〜20倍である。
上述した本発明によれば、搬送チャンバ203及び/又はドーム型底部233は以下のように設計することができる。
(1)ドーム型底部233のため、搬送チャンバ203下部の空間を決定する(例えば、最小搬送高さHTR及び/又はフロア405のような介在構造上の搬送チャンバ203の高さHFに基づく。)。
(2)ドーム型底部233の第1部分407の曲率半径RD1を決定する(例えば、フロア405上の搬送チャンバ203の高さHF、最小搬送高さHTR、搬送チャンバ203の所望の全体サイズ、ロボット205の幅及び高さのような寸法、耐性を有するドーム型底部233の歪量、搬送チャンバ203内で用いられる真空レベル等に基づく。)。
(3)ドーム型底部233の厚さを決定する(例えば、ドーム型底部233の第1部分407の曲率半径RD1、物質強度、耐性を有するドーム型底部233の歪量、搬送チャンバ203内で用いられる真空レベル等に基づく。)。
(4)搬送チャンバ203の本体207の高さHMBを決定する(例えば、本体207に連結されるロードロック及び/又は処理チャンバのサイズ、本体207に連結されるロードロック及び/又は処理チャンバと接して用いられるスリット開口部を収容するのに必要な高さ等に基づく。)。
(5)ドーム型底部233の円筒領域233aの高HD1さを決定する(例えば、第2アーム205bの厚さのようなロボット205のサイズ、搬送チャンバ203の最小搬送高さHTR、ロボット205の第2アーム205b及びエンドエフェクター205c(図2)の間の距離等に基づく。)。
(6)ドーム型底部233の第2部分409の曲率半径RD2を決定する(例えば、ドーム型底部233の第1部分407の曲率半径RD1、ドーム型底部233の円筒領域233aの高さHD1等に基づく。)。
上述した要素は、一又はそれ以上の搬送チャンバ203及び/又はドーム型底部233の設計のため、単独で又はいかなる順序かを問わず組み合わせて用いることができる。ロボット205を収容するために必要な開口の直径(DDB)、ドーム型底部強度における開口の影響のような他の要素も搬送チャンバ203及び/又はドーム型底部233の設計に際して考慮することができる。
本発明の一の実施例において、搬送チャンバ203は以下のように構成される。
(1)本体207の直径DMBは約2.6メーターである。
(2)本体207の高さHMBは約0.8メートルである。
(3)ドーム型底部233の円筒領域233aの高さHD1は約6インチである。
(4)ドーム型底部233のドーム領域233bの高さHD2は約12インチである。
(5)ドーム型底部233の円筒及びドーム領域233a、233bの厚さは約0.5〜0.625インチである。
(6)ドーム型底部233の半径部407の曲率半径RD1は本体207の直径の約1.5倍である。
(7)ドーム型底部233の半径部409の曲率半径RD2はドーム領域233bの厚さの約5〜20倍である。
(8)本体207の厚さは約2インチである(最も薄い部分において)。
他の搬送チャンバ構成も採用することが可能である。
図2には、搬送チャンバ203及び/又はロボット205の支持構造の一例が示されている。このような支持構造は、例えば、1又はそれ以上の適切な大きさの柱脚部243、交差部材245及び/又は留金247を含むことができる。一般に、搬送チャンバ203又はロボット205の支持にはいかなる機構を採用することも可能である。例えば、ロードロックチャンバ15はクリーンルーム壁部249及び/又は搬送チャンバ205を介して支持されることができ、処理チャンバ17は、例えば、1又はそれ以上の柱脚部251及び/又は交差部材253により支持されることができる。他の支持構成を採用することも可能である。
本発明の少なくとも一の実施例において、支持構造241は、ドーム型底部233を直接的に支持することなく、搬送チャンバ203の本体207及びロボット205を支持するために用いられる。例えば、柱脚部243は、ドーム型底部233と接することなく、本体207の平坦領域213(図3)と接触し、交差部材245及び留金247は、ドーム型底部233と接することなく、ロボット205のメイントランク255を支持してもよい(図示)。ドーム型底部233は本体207によって支持されていてもよい(例えば、図示されていないボルト又は他の締着機構を用い本体207から吊持されることにより)。
上述した実施例において、ドーム型底部233は本体207及びロボット205の支持構造241から分離されている(本体207及び205から「浮いて」いる。)。更に、本発明の上述した実施例において、従来の蛇腹シール(図示せず)をドーム型底部233とロボット205の間に設けることができ、これによってその間に形成されている真空封止状態を破ることなく、ドーム型底部233をロボットに対し垂直方向に移動させることが可能になる(例えば、図3におけるO−リング245、249を介して)。この方法によれば、ドーム型底部233は搬送チャンバ203の減圧及び排気の際に歪を受けることがなく、ドーム型底部233の設計に際し、設計上の制約(例えば、材料の厚さ、強度等)が少なくなる。さらに、ドーム型底部233の歪はロボット205の位置及び/又は精度に影響を与えない。
図5は、本発明の他の実施例において提供される処理ツール501の概略縦断面図である。本発明に係る処理ツール501は、図4の処理ツール501がドーム型形状に形成された蓋572を有している搬送チャンバ503である点を除き(図2及び3に示される平坦搬送チャンバ蓋227を採用していない)、図2に示される処理ツール201と同一である。ドーム型形状を有する搬送チャンバ蓋は上述した米国特許出願第09/523,366号に開示されている。
上述した説明は本発明の実施例を示すものであり、本発明の範囲内での上述した装置の改造は本技術分野における当業者にとって容易に明らかなものである。例えば、本発明に係るドーム型搬送チャンバの底部は凹形状を有するものとして説明されているが、ドーム型搬送チャンバの底部は凸構造を有するものであってもよい(即ち、ドーム型底部の中央部と搬送チャンバの蓋部との間の垂直方向の距離がドーム型底部の外側と搬送チャンバの蓋部との間の垂直方向の距離より小さい)。本明細書において、「ドーム型」底部又は蓋部は外部がドーム型又は湾曲している部分を有するものであればよい。底部又は蓋部の他の部分は他の形状及び/又は平坦な形状であってもよい。このようなドーム型底部(又は蓋部)の設計は、1又はそれ以上の搬送チャンバの高さ又は幅、搬送チャンバの下方の空間の高さ及び幅等に基づいて行うことができる。
図5の実施例に示されるように、ドーム型蓋部が採用される場合、蓋の構造は図5に示されるような凹型蓋部構造ではなく、(上述した米国特許出願番号第09/523,366号に記載されているような)凸状であってもよい。
本発明は、特にガラス基板の処理で用いられるタイプの大型搬送チャンバに用いられる場合に特に有効であるが、本発明はまた他の種類の処理ツールにも応用可能であり、シリコンウエハの処理に用いることも可能である。本発明はいかなる数の処理チャンバ及びいかなる数のロードロックチャンバに連結される搬送チャンバにも応用可能である。
図3に示されている搬送チャンバの要素の少なくともいくつかは他の要素と組み合わせることが可能である。例えば、蓋部227及び上部部材223を組み合わせて単一部材を形成することにより本体207の上部を閉鎖することが可能である。従って、特許請求の範囲においては、「蓋部」という用語は搬送チャンバの上部を封止する1、2又はそれ以上の部品を含むと理解されなければならない。また、図3において単一ピースとして示されている本発明に係る搬送チャンバの要素は、2又はそれ以上の部品から構成されていてもよい。
他の例として、底部233及びプラグ部材243は単一ピースを形成するように組み合わせてもよく、これによって基板ハンドリングロボットの周りを封止することができる。
本発明に係る搬送チャンバは、「フロッグレッグ」スタイルロボットのような他の種類の基板ハンドリングロボットを収容するよう構成されていてもよい。
搬送チャンバの本体の重量及び/又は搬送チャンバに連結されている各々のロードロック及び処理チャンバの重量の一部(例えば、ドーム型底部が本体に対して浮いていない場合)を支持するために搬送チャンバの底部が用いられている場合、ドーム型底部の設計は影響を受ける(例えば、底部の非ドーム型部分の高さ、底部のドーム型部分の半径、物質厚さ等)。
従って、本発明は実施例に基づいて開示されているが、他の例は特許請求の範囲で特定される本発明の精神及び範囲に含まれるものと理解されなければならない。

Claims (24)

  1. 搬送チャンバであって、
    少なくとも一の処理チャンバと少なくとも一のロードロックチャンバに連結される側壁部を有し、少なくとも一の処理チャンバと少なくとも一のロードロックチャンバの間で基板を搬送するために用いられるロボットの少なくとも一部を収容するための本体部と、
    搬送チャンバの本体部の上部に連結されてこれを封止するために用いられる蓋部と、
    搬送チャンバの本体部の底部に連結されてこれを封止するために用いられるドーム型底部とを備え、ドーム型底部は本体部の半径より大きな曲率半径を有するドーム領域を含む搬送チャンバ。
  2. 本体部は、円筒形内壁部と、ロードロックチャンバと処理チャンバの少なくとも一に連結されて用いられる複数の平坦領域を有する外壁部とを備えた請求項1記載の搬送チャンバ。
  3. 本体部は材料の単一体である請求項2記載の搬送チャンバ。
  4. 本体部はアルミニウムを含む材料で形成される請求項3記載の搬送チャンバ。
  5. 本体部の側壁部は2インチより大きな最小厚さを有する請求項4記載の搬送チャンバ。
  6. 蓋部は平坦である請求項1記載の搬送チャンバ。
  7. 蓋部はドーム型である請求項1記載の搬送チャンバ。
  8. ドーム型底部は材料の単一体である請求項1記載の搬送チャンバ。
  9. ドーム型底部はステンレスを含む材料で形成される請求項8記載の搬送チャンバ。
  10. ドーム型底部は0.5〜0.625インチの最小厚さを有する請求項9記載の搬送チャンバ。
  11. ドーム型底部は、蓋部とドーム型底部の中心部の間の垂直距離が蓋部とドーム型底部の外側端部の間の垂直距離より大きな凹型構造を有している請求項1記載の搬送チャンバ。
  12. 搬送チャンバであって、
    少なくとも一の処理チャンバと少なくとも一のロードロックチャンバに連結される側壁部を有し、少なくとも一の処理チャンバと少なくとも一のロードロックチャンバの間で基板を搬送するために用いられるロボットの少なくとも一部を収容するため本体部と、
    搬送チャンバの本体部の上部に連結されてこれを封止するために用いられる蓋部と、
    搬送チャンバの本体部の底部に連結されてこれを封止するために用いられるドーム型底部とを備え、ドーム型底部は本体部の半径より大きな曲率半径を有するドーム領域を含む搬送チャンバと、
    搬送チャンバの本体部に連結された少なくとも一の処理チャンバと、
    搬送チャンバの本体部に連結された少なくとも一のロードロックチャンバと、
    ドーム型底部を介して搬送チャンバ内に少なくとも部分的に延伸しているロボットであって、搬送チャンバを介して少なくとも一の処理チャンバと少なくとも一のロードロックチャンバの間で基板を搬送するために用いられるロボットを備えた真空処理システム。
  13. 本体部は、円筒形内壁部と、ロードロックチャンバと処理チャンバの少なくとも一と連結されて用いられる複数の平坦領域を有する外壁部とを備えた請求項12載のシステム。
  14. 搬送チャンバの本体部は材料の単一体である請求項13記載のシステム。
  15. 搬送チャンバの本体部はアルミニウムを含む材料で形成される請求項14記載のシステム。
  16. 搬送チャンバの本体部の側壁部は2インチより大きな最小厚さを有する請求項15記載のシステム。
  17. 搬送チャンバの蓋部は平坦である請求項12記載のシステム。
  18. 搬送チャンバの蓋部はドーム型である請求項12記載のシステム。
  19. 搬送チャンバのドーム型底部は材料の単一体である請求項12記載のシステム。
  20. 搬送チャンバのドーム型底部はステンレスを含む材料で形成される請求項19記載のシステム。
  21. 搬送チャンバのドーム型底部は0.5〜0.625インチの最小厚さを有する請求項20記載のシステム。
  22. 搬送チャンバのドーム型底部は、搬送チャンバの蓋部とドーム型底部の中心部の間の垂直距離が蓋部とドーム型底部の外側端部の間の垂直距離より大きな凹型構造を有している請求項12記載のシステム。
  23. 少なくとも一の処理チャンバを少なくとも一のロードロックチャンバに連結するために用いられる搬送チャンバのドーム型底部を形成する方法であって、
    材料を選択し、
    材料からドーム型底部を形成することを含み、
    ドーム型底部は本体部の半径より大きな曲率半径を有するドーム領域を含み、
    ドーム型底部は、搬送チャンバの本体部の底部に合致し、これを封止するサイズの外径と、搬送チャンバに連結された少なくとも一のロードロックチャンバと少なくとも一の処理チャンバの間で基板を搬送するために用いられるロボットの少なくとも一部を収容するサイズの直径の穴部を有する方法。
  24. 材料はステンレスである請求項23記載の方法。
JP2010196861A 2002-06-21 2010-09-02 真空処理システムのための搬送チャンバ Expired - Fee Related JP5204821B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US39062902P 2002-06-21 2002-06-21
US60/390,629 2002-06-21
US39257802P 2002-06-28 2002-06-28
US60/392,578 2002-06-28

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2004515976A Division JP4619116B2 (ja) 2002-06-21 2003-06-20 真空処理システムのための搬送チャンバ

Publications (3)

Publication Number Publication Date
JP2011018923A true JP2011018923A (ja) 2011-01-27
JP2011018923A5 JP2011018923A5 (ja) 2012-01-05
JP5204821B2 JP5204821B2 (ja) 2013-06-05

Family

ID=30003165

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004515976A Expired - Fee Related JP4619116B2 (ja) 2002-06-21 2003-06-20 真空処理システムのための搬送チャンバ
JP2010196861A Expired - Fee Related JP5204821B2 (ja) 2002-06-21 2010-09-02 真空処理システムのための搬送チャンバ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2004515976A Expired - Fee Related JP4619116B2 (ja) 2002-06-21 2003-06-20 真空処理システムのための搬送チャンバ

Country Status (8)

Country Link
US (2) US7018517B2 (ja)
EP (1) EP1523761A1 (ja)
JP (2) JP4619116B2 (ja)
KR (1) KR100682209B1 (ja)
CN (1) CN100423179C (ja)
AU (1) AU2003245592A1 (ja)
TW (1) TWI294155B (ja)
WO (1) WO2004001817A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015038967A (ja) * 2013-07-17 2015-02-26 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び描画チャンバ

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI294155B (en) 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US20050050767A1 (en) * 2003-06-06 2005-03-10 Hanson Kyle M. Wet chemical processing chambers for processing microfeature workpieces
US7393439B2 (en) * 2003-06-06 2008-07-01 Semitool, Inc. Integrated microfeature workpiece processing tools with registration systems for paddle reactors
US7313462B2 (en) * 2003-06-06 2007-12-25 Semitool, Inc. Integrated tool with automated calibration system and interchangeable wet processing components for processing microfeature workpieces
US20050063798A1 (en) * 2003-06-06 2005-03-24 Davis Jeffry Alan Interchangeable workpiece handling apparatus and associated tool for processing microfeature workpieces
US20070144912A1 (en) * 2003-07-01 2007-06-28 Woodruff Daniel J Linearly translating agitators for processing microfeature workpieces, and associated methods
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7354845B2 (en) * 2004-08-24 2008-04-08 Otb Group B.V. In-line process for making thin film electronic devices
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US8648977B2 (en) * 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US8206075B2 (en) * 2004-06-02 2012-06-26 Applied Materials, Inc. Methods and apparatus for sealing a chamber
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
WO2006130811A2 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Electronic device manufacturing chamber and methods of forming the same
KR20070082056A (ko) * 2006-02-14 2007-08-20 브룩스오토메이션아시아(주) 기판의 진공처리장치용 이송챔버
US20080178460A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Protected magnets and magnet shielding for processing microfeature workpieces, and associated systems and methods
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US8864996B2 (en) * 2007-08-28 2014-10-21 Exxonmobil Research And Engineering Company Reduction of conradson carbon residue and average boiling points utilizing high pressure ultrafiltration
US7867379B2 (en) * 2007-08-28 2011-01-11 Exxonmobil Research And Engineering Company Production of an upgraded stream from steam cracker tar by ultrafiltration
US7815790B2 (en) 2007-08-28 2010-10-19 Exxonmobil Research And Engineering Company Upgrade of visbroken residua products by ultrafiltration
US7736493B2 (en) * 2007-08-28 2010-06-15 Exxonmobil Research And Engineering Company Deasphalter unit throughput increase via resid membrane feed preparation
US7871510B2 (en) * 2007-08-28 2011-01-18 Exxonmobil Research & Engineering Co. Production of an enhanced resid coker feed using ultrafiltration
US8177965B2 (en) * 2007-08-28 2012-05-15 Exxonmobil Research And Engineering Company Enhancement of saturates content in heavy hydrocarbons utilizing ultrafiltration
US7897828B2 (en) * 2007-08-28 2011-03-01 Exxonmobile Research And Engineering Company Process for separating a heavy oil feedstream into improved products
KR101446225B1 (ko) * 2008-07-11 2014-10-01 주식회사 원익아이피에스 진공처리시스템의 반송챔버
FR2933812B1 (fr) * 2008-07-11 2010-09-10 Alcatel Lucent Dispositif de chargement/dechargement de substrats
EP2293321A1 (en) * 2009-09-08 2011-03-09 Applied Materials, Inc. Mechanical modularity chambers
TWI416659B (zh) * 2010-12-31 2013-11-21 Advanced Micro Fab Equip Inc A mounting device for a vacuum processing system
PL220339B1 (pl) 2012-07-12 2015-10-30 Inst Chemii Fizycznej Polskiej Akademii Nauk Przenośna walizka próżniowa z wziernikiem
KR101542169B1 (ko) 2014-08-28 2015-08-05 주식회사 원익아이피에스 진공처리시스템의 반송챔버
US11948810B2 (en) 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
KR102100775B1 (ko) * 2018-03-14 2020-04-14 우범제 이에프이엠
KR102132422B1 (ko) * 2018-03-14 2020-08-05 우범제 이에프이엠
CN109609910B (zh) * 2019-01-10 2021-04-13 深圳市致远动力科技有限公司 薄膜电池制备装置及方法
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05347130A (ja) * 1992-06-15 1993-12-27 Mitsubishi Electric Corp 陰極線管
JPH0712976A (ja) * 1993-06-18 1995-01-17 Ishikawajima Harima Heavy Ind Co Ltd 圧力容器
JPH08152493A (ja) * 1994-11-29 1996-06-11 Toshiba Corp 原子炉圧力容器
JPH1189027A (ja) * 1997-09-08 1999-03-30 Hitachi Ltd スイッチギヤ
JPH1189026A (ja) * 1997-09-08 1999-03-30 Hitachi Ltd スイッチギヤ
JPH11510562A (ja) * 1995-08-03 1999-09-14 アドバンスト セミコンダクタ マテリアルズ アメリカ インコーポレイテッド 内部支持部材を有するプロセスチャンバ
JP2000167788A (ja) * 1998-12-07 2000-06-20 Nsk Ltd 搬送ロボット装置
JP2000514601A (ja) * 1996-07-09 2000-10-31 ラム リサーチ コーポレイション チャンバ連結o―リングおよびその構成方法
JP2001298010A (ja) * 2000-01-28 2001-10-26 Applied Materials Inc シリコンウエハ表面の低圧高水素流量クリーニング方法

Family Cites Families (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1381877A (en) 1919-05-12 1921-06-14 Edward T Neyhard Knockdown tank
US2761582A (en) 1950-08-01 1956-09-04 Moorex Ind Inc Demountable structure
US3925679A (en) 1973-09-21 1975-12-09 Westinghouse Electric Corp Modular operating centers and methods of building same for use in electric power generating plants and other industrial and commercial plants, processes and systems
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4483654A (en) 1981-02-13 1984-11-20 Lam Research Corporation Workpiece transfer mechanism
AU555553B2 (en) 1981-10-27 1986-10-02 Arthur Malcolm Bennett Valve member
DE3219502C2 (de) 1982-05-25 1990-04-19 Ernst Leitz Wetzlar Gmbh, 6330 Wetzlar Vorrichtung zum automatischen Transport scheibenförmiger Objekte
US4851058A (en) 1982-09-03 1989-07-25 General Motors Corporation High energy product rare earth-iron magnet alloys
US4455177A (en) * 1982-09-13 1984-06-19 Filippov Vladimir I Method and apparatus for chemical heat treatment of steel parts utilizing a continuous electric furnace
US4491520A (en) 1984-02-22 1985-01-01 Jaye Richard C Filter for water jugs
US4632624A (en) * 1984-03-09 1986-12-30 Tegal Corporation Vacuum load lock apparatus
US4726924A (en) 1984-06-28 1988-02-23 The Boeing Company Method of planar forming of zero degree composite tape
DE3466135D1 (en) * 1984-10-16 1987-10-15 Ibm Vacuum transfer device
JPS61152987A (ja) 1984-12-26 1986-07-11 Nippon Piston Ring Co Ltd 回転式流体ポンプ用ロ−タの製造方法
DE3650057T2 (de) 1985-10-24 1995-02-16 Texas Instruments Inc System für Vakuumbehandlung.
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
FR2594102B1 (fr) * 1986-02-12 1991-04-19 Stein Heurtey Installation flexible automatisee de traitement thermochimique rapide
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4763690A (en) 1986-07-29 1988-08-16 Harsco Corporation Leak-proof valve for gas cylinders
FR2620049B2 (fr) 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
KR900005610Y1 (ko) 1987-04-16 1990-06-28 이형곤 차압 2중 진공 씨스템
US4799418A (en) 1987-08-21 1989-01-24 Mitsuba Electric Mfg. Co., Ltd. Vacuum actuator for vehicle speed control
US4851101A (en) 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4993358A (en) 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5138525A (en) 1991-06-14 1992-08-11 Dell Usa Corporation Multi-purpose strut for digital computer chassis
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5152504A (en) 1991-09-11 1992-10-06 Janis Research Company, Inc. Vacuum valve
US5269598A (en) 1992-10-08 1993-12-14 Enlight Corporation Personal computer component support structure
US5503809A (en) 1993-04-19 1996-04-02 John T. Towles Compact ozone generator
US5417537A (en) 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
JP3158264B2 (ja) 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JPH0864542A (ja) 1994-08-25 1996-03-08 Plasma Syst:Kk 半導体処理装置用真空チャンバーおよびその製造方法
JPH09102526A (ja) * 1995-10-05 1997-04-15 Kokusai Electric Co Ltd 真空内基板搬送装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6216328B1 (en) 1996-07-09 2001-04-17 Lam Research Corporation Transport chamber and method for making same
JPH1064902A (ja) 1996-07-12 1998-03-06 Applied Materials Inc アルミニウム材料の成膜方法及び成膜装置
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6286451B1 (en) 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6045620A (en) 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6530732B1 (en) 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber
US5913568A (en) * 1997-09-30 1999-06-22 Brightbill; Stephen T. Two platform motion seat
WO1999023276A1 (en) 1997-11-03 1999-05-14 Asm America, Inc. Long life high temperature process chamber
US6257827B1 (en) 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JPH11186363A (ja) * 1997-12-24 1999-07-09 Shin Etsu Handotai Co Ltd 半導体製造装置
US5931626A (en) * 1998-01-16 1999-08-03 Brooks Automation Inc. Robot mounting de-coupling technique
JP2000345349A (ja) 1999-06-04 2000-12-12 Anelva Corp Cvd装置
US6230719B1 (en) 1998-02-27 2001-05-15 Micron Technology, Inc. Apparatus for removing contaminants on electronic devices
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
WO1999053117A2 (en) 1998-04-14 1999-10-21 Cvd Systems, Inc. Film deposition system
US6019839A (en) * 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP4526136B2 (ja) * 1998-06-08 2010-08-18 株式会社日立国際電気 被処理物搬送装置、半導体製造装置及び被処理物の処理方法
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US6267917B1 (en) 1998-10-16 2001-07-31 Norstar Aluminum Molds, Inc. Rotatable mold apparatus having replaceable molds and replacement methods
KR100280519B1 (ko) 1998-11-17 2001-03-02 김영환 반도체 유기금속 화학기상증착장비의 가스 분사장치
US6143079A (en) 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP3433392B2 (ja) 1999-01-12 2003-08-04 セントラル硝子株式会社 クリーニングガス及び真空処理装置のクリーニング方法
US6267545B1 (en) 1999-03-29 2001-07-31 Lam Research Corporation Semiconductor processing platform architecture having processing module isolation capabilities
JP2000286319A (ja) 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
US6099697A (en) * 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
JP4330703B2 (ja) 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
US6245149B1 (en) * 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
US6383330B1 (en) 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber
JP3949328B2 (ja) * 1999-11-02 2007-07-25 富士フイルム株式会社 画像形成方法
CN1175470C (zh) 1999-11-02 2004-11-10 东京威力科创股份有限公司 多个工件的超临界处理的方法和装置
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
FR2805243B1 (fr) * 2000-02-22 2002-07-26 Tfe Tech Et Fabrications Elect Dispositif formant embout pour tuba ou de detenteur de plongee
US6698991B1 (en) 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
US6582175B2 (en) 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
JP4021125B2 (ja) 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP2002001100A (ja) 2000-06-22 2002-01-08 Mitsubishi Heavy Ind Ltd プラズマ処理装置
JP4253107B2 (ja) 2000-08-24 2009-04-08 キヤノンアネルバ株式会社 基板処理装置及びその増設方法
KR100388294B1 (ko) 2000-08-30 2003-06-19 앰코 테크놀로지 코리아 주식회사 회로기판용 폴리이미드 위에 금속 박막을 증착하는 방법
JP5159010B2 (ja) 2000-09-08 2013-03-06 株式会社半導体エネルギー研究所 発光装置の作製方法
JP3640609B2 (ja) 2000-10-16 2005-04-20 アルプス電気株式会社 プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法
JP3729095B2 (ja) * 2001-06-29 2005-12-21 日産自動車株式会社 走行路検出装置
US6663333B2 (en) 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP2003117655A (ja) 2001-10-12 2003-04-23 Toyo Jigu:Kk 真空容器
TW522448B (en) 2001-10-22 2003-03-01 Advanced Semiconductor Eng Semiconductor wafer carrying apparatus
AU2002343583A1 (en) 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
US20040221811A1 (en) 2001-11-30 2004-11-11 Robert Mitchell Method and apparatus for processing wafers
US6719517B2 (en) 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
JP2003188226A (ja) * 2001-12-18 2003-07-04 Anelva Corp 真空搬送処理装置
KR20030066118A (ko) 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
JP4254116B2 (ja) 2002-03-22 2009-04-15 東京エレクトロン株式会社 位置合わせ用基板
KR20030077803A (ko) 2002-03-27 2003-10-04 삼성전자주식회사 반도체제조설비에 사용되는 가스분배장치
TWI294155B (en) 2002-06-21 2008-03-01 Applied Materials Inc Transfer chamber for vacuum processing system
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7204669B2 (en) 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
JP3989382B2 (ja) 2003-02-05 2007-10-10 東京エレクトロン株式会社 基板処理装置
JP4283559B2 (ja) 2003-02-24 2009-06-24 東京エレクトロン株式会社 搬送装置及び真空処理装置並びに常圧搬送装置
JP4219799B2 (ja) 2003-02-26 2009-02-04 大日本スクリーン製造株式会社 基板処理装置
JP2004335743A (ja) 2003-05-08 2004-11-25 Ulvac Japan Ltd 真空処理装置用真空チャンバー
JP2004349503A (ja) 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
JP4450664B2 (ja) 2003-06-02 2010-04-14 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
KR100441875B1 (ko) 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
US20060240983A1 (en) * 2003-07-31 2006-10-26 Showa Denko K.K. Seed coating composition, coated seed, and coating method
US7313262B2 (en) 2003-08-06 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for visualization of process chamber conditions
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US7384992B2 (en) * 2003-11-10 2008-06-10 Meadwestvaco Corporation Rosin-fatty acid ester vinylic polymers
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20060054280A1 (en) 2004-02-23 2006-03-16 Jang Geun-Ha Apparatus of manufacturing display substrate and showerhead assembly equipped therein
TWI252070B (en) * 2004-03-10 2006-03-21 Delta Electronics Inc Pulling structure for an optical transmitting module
US20060201074A1 (en) 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
CN101866828B (zh) 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
KR100768741B1 (ko) 2004-08-13 2007-10-19 주식회사 이오테크닉스 레이저와 비전의 동축 가공장치
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI287279B (en) 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
JP4791110B2 (ja) 2005-09-02 2011-10-12 東京エレクトロン株式会社 真空チャンバおよび真空処理装置
WO2007075840A2 (en) 2005-12-20 2007-07-05 Applied Materials, Inc. Extended mainframe designs for semiconductor device manufacturing equipment
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20080025821A1 (en) 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
KR100769152B1 (ko) 2006-09-25 2007-10-22 동부일렉트로닉스 주식회사 반도체 소자의 와이어 패드

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05347130A (ja) * 1992-06-15 1993-12-27 Mitsubishi Electric Corp 陰極線管
JPH0712976A (ja) * 1993-06-18 1995-01-17 Ishikawajima Harima Heavy Ind Co Ltd 圧力容器
JPH08152493A (ja) * 1994-11-29 1996-06-11 Toshiba Corp 原子炉圧力容器
JPH11510562A (ja) * 1995-08-03 1999-09-14 アドバンスト セミコンダクタ マテリアルズ アメリカ インコーポレイテッド 内部支持部材を有するプロセスチャンバ
JP2000514601A (ja) * 1996-07-09 2000-10-31 ラム リサーチ コーポレイション チャンバ連結o―リングおよびその構成方法
JPH1189027A (ja) * 1997-09-08 1999-03-30 Hitachi Ltd スイッチギヤ
JPH1189026A (ja) * 1997-09-08 1999-03-30 Hitachi Ltd スイッチギヤ
JP2000167788A (ja) * 1998-12-07 2000-06-20 Nsk Ltd 搬送ロボット装置
JP2001298010A (ja) * 2000-01-28 2001-10-26 Applied Materials Inc シリコンウエハ表面の低圧高水素流量クリーニング方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015038967A (ja) * 2013-07-17 2015-02-26 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び描画チャンバ

Also Published As

Publication number Publication date
JP4619116B2 (ja) 2011-01-26
EP1523761A1 (en) 2005-04-20
AU2003245592A1 (en) 2004-01-06
TWI294155B (en) 2008-03-01
JP5204821B2 (ja) 2013-06-05
KR100682209B1 (ko) 2007-02-12
JP2005531149A (ja) 2005-10-13
WO2004001817A1 (en) 2003-12-31
US20040055537A1 (en) 2004-03-25
CN100423179C (zh) 2008-10-01
KR20050013597A (ko) 2005-02-04
WO2004001817A9 (en) 2004-07-01
US8033772B2 (en) 2011-10-11
TW200403794A (en) 2004-03-01
US20060157340A1 (en) 2006-07-20
CN1675742A (zh) 2005-09-28
US7018517B2 (en) 2006-03-28

Similar Documents

Publication Publication Date Title
JP4619116B2 (ja) 真空処理システムのための搬送チャンバ
US20100319853A1 (en) Gas supply device and apparatus for processing a substrate
US20020159864A1 (en) Triple chamber load lock
US20040237244A1 (en) Purge system for product container and interface seal used in the system
TW201727104A (zh) 陶瓷狹縫閥門及組件
JP2023540294A (ja) 正確なチャンバマッチングと処理制御のためのペデスタル支持体設計
US7762425B2 (en) Vacuum container apparatus used for manufacturing semiconductor devices and lid apparatus for same
JPH04240721A (ja) マルチチャンバプロセス装置
KR101907247B1 (ko) 기판 처리 장치 및 기판 적재 유닛의 제조 방법
US6786935B1 (en) Vacuum processing system for producing components
JP3097636B2 (ja) 真空ロック室
WO2010113625A1 (ja) マルチチャンバ処理システム
US20060286801A1 (en) Process chamber assembly and apparatus for processing a substrate
CN117730403A (zh) 将腔室体积隔离成具有内部晶片移送能力的处理体积的方法
KR101150026B1 (ko) 반도체 처리용의 반응관 및 열처리 장치
US20240170262A1 (en) Symmetric semiconductor processing chamber
KR20210157338A (ko) 다중 스테이지 기판 처리 시스템
JP2010238878A (ja) 搬送室
TW202338138A (zh) 具有一或多個壓力穩定腔室的叢集工具、系統、及方法
JPH1167678A (ja) 縦型炉
JP2002222795A (ja) ドライエッチング装置
JP2001244205A (ja) 半導体製造装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111115

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20111115

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20111124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111206

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120306

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120309

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120405

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120416

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120507

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120626

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120925

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120928

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121025

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121030

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121126

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130215

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5204821

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160222

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees