JPH11510562A - 内部支持部材を有するプロセスチャンバ - Google Patents
内部支持部材を有するプロセスチャンバInfo
- Publication number
- JPH11510562A JPH11510562A JP9508554A JP50855497A JPH11510562A JP H11510562 A JPH11510562 A JP H11510562A JP 9508554 A JP9508554 A JP 9508554A JP 50855497 A JP50855497 A JP 50855497A JP H11510562 A JPH11510562 A JP H11510562A
- Authority
- JP
- Japan
- Prior art keywords
- chamber
- gas
- susceptor
- opening
- wall
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 124
- 230000008569 process Effects 0.000 title claims abstract description 94
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 112
- 239000010453 quartz Substances 0.000 claims abstract description 109
- 238000011144 upstream manufacturing Methods 0.000 claims abstract description 49
- 238000010926 purge Methods 0.000 claims abstract description 30
- 238000005229 chemical vapour deposition Methods 0.000 claims abstract description 27
- 238000004031 devitrification Methods 0.000 claims abstract description 12
- 238000012545 processing Methods 0.000 claims description 42
- 239000000758 substrate Substances 0.000 claims description 20
- 239000004065 semiconductor Substances 0.000 claims description 18
- 238000010438 heat treatment Methods 0.000 claims description 16
- 239000000463 material Substances 0.000 claims description 15
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 8
- 238000000151 deposition Methods 0.000 claims description 8
- 229910002804 graphite Inorganic materials 0.000 claims description 8
- 239000010439 graphite Substances 0.000 claims description 8
- 230000008021 deposition Effects 0.000 claims description 7
- 230000002093 peripheral effect Effects 0.000 claims description 5
- 238000003466 welding Methods 0.000 claims description 5
- 238000004519 manufacturing process Methods 0.000 claims description 4
- 238000005382 thermal cycling Methods 0.000 claims description 4
- 230000006837 decompression Effects 0.000 claims description 3
- 238000005304 joining Methods 0.000 claims description 3
- 238000000926 separation method Methods 0.000 claims description 3
- 238000002844 melting Methods 0.000 claims description 2
- 230000008018 melting Effects 0.000 claims description 2
- 230000003014 reinforcing effect Effects 0.000 claims description 2
- 238000007599 discharging Methods 0.000 claims 2
- 241001122767 Theaceae Species 0.000 claims 1
- 230000035515 penetration Effects 0.000 claims 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims 1
- 229910010271 silicon carbide Inorganic materials 0.000 claims 1
- 238000006243 chemical reaction Methods 0.000 abstract description 9
- 239000007789 gas Substances 0.000 description 205
- 235000012431 wafers Nutrition 0.000 description 93
- 210000003811 finger Anatomy 0.000 description 20
- 230000008901 benefit Effects 0.000 description 14
- 239000000376 reactant Substances 0.000 description 12
- 239000012495 reaction gas Substances 0.000 description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- 238000009826 distribution Methods 0.000 description 7
- 238000001816 cooling Methods 0.000 description 6
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 6
- 239000000498 cooling water Substances 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 239000012530 fluid Substances 0.000 description 5
- 230000008859 change Effects 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 238000006073 displacement reaction Methods 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 230000001105 regulatory effect Effects 0.000 description 4
- 238000005452 bending Methods 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 238000002156 mixing Methods 0.000 description 3
- 229910052698 phosphorus Inorganic materials 0.000 description 3
- 239000011574 phosphorus Substances 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 230000006866 deterioration Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 210000003813 thumb Anatomy 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 1
- 239000011358 absorbing material Substances 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 230000001010 compromised effect Effects 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- 235000021185 dessert Nutrition 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 229920001971 elastomer Polymers 0.000 description 1
- 239000000806 elastomer Substances 0.000 description 1
- 239000004744 fabric Substances 0.000 description 1
- 239000010419 fine particle Substances 0.000 description 1
- 238000010304 firing Methods 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 238000009499 grossing Methods 0.000 description 1
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 1
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 210000003127 knee Anatomy 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 230000035699 permeability Effects 0.000 description 1
- 150000003017 phosphorus Chemical class 0.000 description 1
- 125000002743 phosphorus functional group Chemical group 0.000 description 1
- 238000012805 post-processing Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000003303 reheating Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 239000004576 sand Substances 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 230000000153 supplemental effect Effects 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J3/00—Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
- B01J3/006—Processes utilising sub-atmospheric pressure; Apparatus therefor
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/48—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
- C23C16/481—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67115—Apparatus for thermal treatment mainly by radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Toxicology (AREA)
- Health & Medical Sciences (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Description
Claims (1)
- 【特許請求の範囲】 1. 外部圧力がチャンバの内部圧力より高いときにチャンバに発生する外力に 耐えることができる減圧チャンバであって、 凸状の外部表面及び凹状の内部表面を有する石英の上部壁と、 凸状の外部表面及び凹状の内部表面を有し、前記上部壁から離間された石英の 下部壁と、 前記壁内に最大内側幅より小さい最大内側高さを有するチャンバ空間を作る前 記壁の側縁を連結するサイドレールと、 チャンバ内で前記レールに固定されてその間に延び、チャンバがチャンバ内の 圧力より大きい外部圧力を受けるときに前記レールが外側へ変形したり、前記壁 が平坦になるように変形したりするのに抵抗する支持部材とを備えるチャンバ。 2. 前記支持部材は、チャンバ内で加熱される物体を設置するサセプタを配置 するための開口を有する平坦なプレート部材である請求項1記載のチャンバ。 3. 前記上部壁及び下部壁は、一定の曲率半径を有する請求項1記載のチャン バ。 4. 前記壁は、ほぼ矩形の垂直な突出部を有し、前記サ イドレールは、ほぼ真っ直ぐである請求項1記載のチャンバ。 5. 前記壁及びレールの各々の一端に固定された入口フランジ及び前記壁及び レールの各々の他端に固定された出口フランジを備える請求項4記載のチャンバ 。 6. 前記支持部材は、半導体ウェーハを支持するサセプタを配置する開口によ り分けられる入口部及び出口部を有する請求項4記載のチャンバ。 7. 前記支持部材は、チャンバを上部領域及び下部領域に分割するように配置 されたプレート部材であり、前記支持部材は、半導体ウェーハを支持するサセプ タを配置する開口を有し、前記ウェーハは、前記上部領域内にある請求項4記載 のチャンバ。 8. 第一の長手方向に固定され、吸気ガスフロースロットを前記上部領域に開 口させる入口フランジを備える請求項7記載のチャンバ。 9. 第二の長手方向に固定され、排気ガスフロースロットを前記上部領域に開 口させる出口フランジを備える請求項8記載のチャンバ。 10. 前記入口フランジは、前記下部領域に開口する第二の吸気ガスフロースロ ットを備え、前記出口フランジは、前記下部領域に開口する第二の排気ガスフロ ーフランジを 有し、上部領域は、前記支持プレートの上のウェーハの処理領域を規定し、下部 領域は、ウェーハの処理が行われない前記支持プレートの下の領域を規定する請 求項8記載のチャンバ。 11. 前記サセプタの駆動軸を通すための前記開口の下の前記下部壁から延びる 管を備える請求項7記載のチャンバ。 12. 前記管は、前記下部領域へパージガスを通すための駆動軸の周りのスペー スをもたらすような大きさにされ、前記出口フランジは、前記パージガスを排気 するために前記下部領域に開口する第二のスロットを備える請求項11記載のチャ ンバ。 13. 前記上部及び下部壁、並びに前記サイドレールは、ほぼ矩形形状を規定し 、前記サイドレールは、前記壁より厚い主要部材を有し、ほぼ同じ厚さの上部、 下部、及び中間スタブ壁を有し、前記上部及び下部壁、並びに支持部材にそれぞ れ連結されるようにされている請求項1記載のチャンバ。 14. 前記チャンバは、入口端及び出口端を規定し、前記サイドレール間に延び る前記支持部材は、前記入口端に近接する入口部及び前記出口端に近接する出口 部に分けられ、前記入口及び出口部は、その間の開口を規定し、前記開口を横断 する前記中間スタブ壁の間に直接構造的な支持部材 がない請求項13記載のチャンバ。 15. 前記チャンバは、前記レールの一方から他方までの幅と、前記上部壁の最 上端と前記下部壁の最下端との間の高さとを有し、前記高さに対する前記幅の比 は、約3である請求項1記載のチャンバ。 16. 前記チャンバは、約325mmの幅及び約106mmの高さを有する請求 項15記載のチャンバ。 17. 前記支持部材は、前記壁の約2倍の厚さを有するプレート部材である請求 項1記載のチャンバ。 18. 前記支持部材は、約10mmの厚さを有し、前記壁は、約5mmの厚さを 有する請求項17記載のチャンバ。 19. 前記支持部材は、平坦なプレート部材であり、化学気相成長させる基板を 支持するサセプタを配置するほぼ矩形の開口を規定する請求項1記載のチャンバ 。 20. 前記開口に配置されたサセプタを備える請求項19記載のチャンバ。 21. 前記サセプタを近接して囲む円形の内側縁及び前記矩形の開口を規定する 前記プレート部材の縁に近接して配置されたほぼ矩形の外側縁を有する温度補償 リングを備える請求項20記載のチャンバ。 22. 前記開口は、丸くされたコーナーを有し、前記リングの前記外側縁は、前 記矩形の開口の丸くされたコーナー に結合される丸くされたコーナーを有する請求項21記載のチャンバ。 23. 前記チャンバは、入口端及び出口端を有し、前記サセプタは、前記開口の 出口端より入口端により近接して配置された前記サイドレールの間の中心に配置 される請求項21記載のチャンバ。 24. 前記リングの前記円形の内側縁は、前記開口の前記出口縁より前記入口縁 により近接して前記開口の両端の中心に配置される請求項23記載のチャンバ。 25. 前記リングは、前縁及び後縁を有し、前記前縁と前記プレート部材の開口 との間の最短距離は、前記後縁と前記プレート部材の開口との間の最短距離より 短い請求項21記載のチャンバ。 26. 前記プレート部材は、石英から作られ、前記リング及び前記サセプタは、 炭化ケイ素でコーティングされたグラファイトから作られる請求項21記載のチャ ンバ。 27. ガス入口端とガス出口端とを有し、その長手方向が前記両端の間に規定さ れる半導体ウェーハを処理する石英チャンバであって、 外側に凸状の上部及び下部壁と、 自身を横断する主長及び前記上部及び下部壁の尖部を横断する副長を有する前 記長手方向に垂直なほぼレンズ状の 外形を規定して前記壁の横方向の縁に連結される補強用サイドレールと、 前記チャンバ内に全体が配置され、前記チャンバ内での減圧処理中に前記凸状 の壁が平坦にされるのを制限するように連結される一つ又はそれ以上の支持部材 とを備えるチャンバ。 28. 前記支持部材は、前記チャンバの内部スペースを上部及び下部領域に分け る前記サイドレールに連結されるプレート部材である請求項27記載のチャンバ。 29. 前記プレート部材は、上部及び下部領域が対称となるような位置で前記サ イドレールに連結される請求項28記載のチャンバ。 30. 前記サイドレールの各々は、横方向に短く延び、縦方向に前記入口端から 前記出口端まで延びるスタブ壁を備え、前記スタブ壁の各々の一つは、前記上部 及び下部壁に連結され、一つは、前記プレート部材に連結され、前記サイドレー ルの各々は、前記上部及び下部領域の横方向の境界を規定する前記スタブ壁間に 凹部を備える請求項29記載のチャンバ。 31. 前記プレート部材は、前記上部領域でウェーハを支持するサセプタを受け るような大きさにされた開口を備える請求項28記載のチャンバ。 32. 前記サセプタの周辺に温度検出手段を支持する前記プレート部材に連結さ れる複数の支持ロッドを備える請求項31記載のチャンバ。 33. 前記温度検出手段は、熱電対の検出部を収容するリングを備える請求項32 記載のチャンバ。 34. 前記入口端は、ウェーハの処理領域を規定する前記上部領域に開口するガ ス入口スロットを有するフランジを備える請求項28記載のチャンバ。 35. 前記入口端は、前記下部領域に開口するガス入口スロットを有するフラン ジを備え、前記下部領域は、ウェーハを処理しない前記支持プレートの下部の領 域を規定する請求項28記載のチャンバ。 36. 前記サセプタの周りに配置されるリングを支持する前記プレート部材に連 結される支持部材を備える請求項28記載のチャンバ。 37. 前記出口端は、前記上部領域に開口する第一のガス出口スロット及び前記 下部領域に開口する第二のガス出口領域を有するフランジを備える請求項28記載 のチャンバ。 38. 前記プレート部材は、前記開口の下部に延びて連結される複数のロッドを 備え、リングは、サセプタを囲んで前記ロッドを支持し、前記リングは、前記第 二のガス出口スロットを通して前記チャンバ内に延びる熱電対の検出部 を収容して形成される請求項37記載のチャンバ。 39. 半導体ウェーハを処理するのに適したチャンバの製造方法であって、 ほぼ矩形のスペースを規定するように入口端フランジ及び出口端フランジを二 つの平行なサイドレールに連結し、 前記フランジと前記レールとの間に延びる前記スペースにプレート部材を配置 し、 前記プレート部材を前記サイドレール及び前記フランジに連結し、 前記プレート部材の上に上部領域を形成するように上部の外側に曲げられた壁 を前記レール及び前記フランジに連結し、 前記プレート部材の下に下部領域を形成するように下部の外側に曲げられた壁 を前記レール及び前記フランジに連結し、前記チャンバは、前記上部及び下部壁 の尖部を横断する寸法より大きい前記サイドレールを横断する寸法を有する方法 。 40. 前記プレート部材の連結ステップでは、前記サイドレール間で前記入口フ ランジに近接する前記プレート部材の第一の部分を連結し、前記サイドレール間 で前記出口フランジに近接して前記プレート部材の第二の部分を連結し、前記部 分は、その間に半導体ウェーハを支持するサセプタ を受けるのに十分な開口を規定する請求項39記載の方法。 41. 前記開口に近接し、前記サセプタの周りに位置する温度検出手段を支持す るように、前記プレート部材の前記入口及び出口部分に支持部材を連結するステ ップを含む請求項40記載の方法。 42. 前記壁及び前記レールは、石英から作られ、前記結合ステップは、石英溶 接を含む請求項39記載の方法。 43. さらに、前記チャンバを構成する各部材の内部応力を低減する溶接ステッ プ間に熱処理の間欠的なステップを含む請求項42記載の方法。 44. 前記石英の表面を円滑にするように前記チャンバを燃焼研磨する請求項43 記載の方法。 45. 半導体を処理するシステムであって、 外側に凸状の上部及び下部壁を有し、チャンバが外部圧力より大きい内部圧力 を受けるとき、前記壁が平坦になるのに抵抗するような位置及び構造の支持部材 を有するレンズ形状の石英チャンバと、 半導体ウェーハを支持するサセプタを受けるような大きさで前記プレート部材 に形成された開口と、 前記開口の下部の前記下部壁から延びる管と、 前記管から延び、前記サセプタを支持するようにされた上部端を有する回転軸 と、 前記サセプタを加熱するために前記チャンバの上部及び下部に配置された複数 の放射熱ランプと、 前記プレート部材の上部の前記チャンバ内へのガス入口と、 前記プレート部材の上部の前記チャンバからのガス出口とを備えるシステム。 46. 前記プレート部材に取り付けられ、前記開口の下部に延びる複数の支持フ ィンガー部と、 前記開口にフィットし、前記サセプタを受けて囲むような大きさにされた分離 リングとを備え、 前記リングは、前記フィンガー部に支持される請求項45記載のシステム。 47. 前記開口は、丸くされたコーナーを有する矩形形状である請求項46記載の システム。 48. 前記リングは、円形の内部直径及び前記面取りされた矩形の開口にほぼ一 致する面取りされた矩形の外形を有する請求項47記載のシステム。 49. 前記プレート部材の下部の前記チャンバ内のパージガス入口及び前記プレ ート部材の下部の前記チャンバからのパージガス出口を備える請求項45記載のシ ステム。 50. 前記チャンバは、前記ガス入口を備える入口フランジと、前記入口フラン ジと係合し前記チャンバ入口に続く 複数のガス入口通路及び前記通路を通して流れを制御する複数の独立した計測バ ルブを備えるガス噴射機とを備える請求項45記載のシステム。 51. 化学気相成長装置であって、 上流端の成長ガス入口及び下流端のガス出口を有する成長チャンバを規定する 壁と、 前記ガス入口及びガス出口の間で前記チャンバに水平に配置され、気相成長さ せる半導体基板を受けるサセプタと、 前記サセプタを囲むリングと、 前記サセプタと前記ガス出口との間で前記チャンバ内に配置され、水平方向に 向けられたプレート部材とを備え、 前記プレート部材は、前記サセプタにほぼ平行に横方向に延びるとともに、前 記サセプタの幅の周りを前記チャンバを横断して延び、前記チャンバ壁は、放射 エネルギーをほぼ透過し、前記プレート部材及び前記リングは、放射エネルギー をよく吸収する装置。 52. 前記プレート部材の上流縁は、前記リングの下流縁の形状にほぼ一致する 請求項51記載の装置。 53. 前記チャンバの垂直方向の高さは、前記サセプタから上流よりも下流にあ り、前記プレート部材は、実質的に水平方向に前記サセプタに位置合わせされて 配置される請求項51記載の装置。 54. チャンバのガスフロー入口及び出口の間に位置するサセプタを有する石英 成長チャンバを備える化学気相成長装置であって、前記サセプタと前記出口との 間の前記チャンバの壁への堆積を最小にするため、前記サセプタと前記チャンバ のガス出口との間で前記チャンバにプレート部材を配置し、前記プレート部材は 、前記サセプタ及び前記サセプタに配置されるウェーハの主面にほぼ平行に延び るとともに、前記チャンバの前記壁よりずっと熱を効率的に吸収する材料から作 られ、前記サセプタ及び前記リングを通る成長ガスは、より冷たいチャンバ壁よ り加熱されたプレート部材にずっと容易に吸収される装置。 55. 前記プレート部材は、ほぼ前記出口まで延びている請求項54記載の装置。 56. 前記チャンバの外側に配置され、前記プレート部材の上に延びる放射熱ラ ンプを備え、そのプレートの端部は、ほぼ前記ランプと同じ下流位置で終端され るガス出口のほうに延びる請求項54記載の装置。 57. 化学気相成長に使用される装置であって、半導体ウェーハを支持するよう にされたサセプタを受けるためのほぼ円形の開口を規定する内側縁を有する温度 補償リングを備え、前記リングは、前記チャンバの同様の大きさの開口内にフィ ットするように丸くされた外側のコーナーを有す るほぼ矩形の外側縁を備える装置。 58. 前記リングは、前縁、後縁、及び一対の外側の側縁を有し、前記外側の前 縁と内側縁との間の最短距離は、前記後縁と前記内側縁との間の最短距離より短 い請求項57記載の装置。 59. 前記リングは、グラファイトから作られる請求項57記載の装置。 60. 前記リング内に配置されたほぼ円形のサセプタを備える請求項57記載の装 置。 61. 前記リングは、一つ又はそれ以上の温度センサを受けるための内側のほぼ 環状の中空部を備える請求項57記載の装置。 62. 前記チャンバは、上流端のガス入口及び下流端のガス出口を有し、前記リ ングは、前記中空部から上流に延びる、中空でなく、ほぼ平坦な前縁部及び前記 中空部から下流に延びる、中空でなく、ほぼ平坦な後縁部を有する請求項61記載 の装置。 63. 化学気相成長チャンバに使用される装置であって、サセプタを受けるため のほぼ円形の開口を規定する内部縁を有する熱吸収リングを備え、前記リングは 、一つ又はそれ以上の熱センサを受けるようにされた内側のほぼ環状の中空部を 備え、前記チャンバは、上流端のガス入口及び下 流端のガス出口を備え、前記リングは、前記中空部から上流に延びる、中空でな く、ほぼ平坦な前縁部及び前記中空部から下流に延びる、中空でなく、ほぼ平坦 な後縁部を有する装置。 64. 前記リングは、面取りされた外側のコーナーを有する請求項63記載の装置 。 65. 化学気相成長チャンバに使用される装置であって、サセプタを受けるため のほぼ円形の開口を規定する内部縁を有する熱吸収リングを備え、前記リングは 、一つ又はそれ以上の熱センサを受けるようにされた内側のほぼ環状の中空部及 びほぼ矩形の外側縁を備える装置。 66. 化学気相成長装置であって、 上流端のチャンバガス入口及び下流端のガス出口を有する成長チャンバを規定 する壁と、 前記入口からサセプタを受けるための開口の部分を規定する下流端まで延びる ほぼ水平な石英入口壁と、 気相成長させる半導体基板を受けるための前記開口に水平に配置されるほぼ円 形のサセプタと、 前記下流端の気相成長及び失透を最小にするために、前記入口壁の下流縁に近 接した開口に延びる垂直リップ及び水平部を有する犠牲石英プレートとを備える 装置。 67. 前記石英プレートの前記水平部は、前記水平な壁に 静止される請求項66記載の装置。 68. 前記下流縁により規定される前記開口の一部は、曲げられ、前記垂直リッ プは、同様に曲げられ、前記下流縁の一部を保護するような大きさにされ、第二 の犠牲石英プレートが設けられ、前記下流縁の第二の部分を保護するように前記 第一の犠牲石英プレートと鏡像関係になる請求項66記載の装置。 69. 前記犠牲プレートは、前記入口壁の下部で支持され、その垂直リップは、 前記入口壁の下流端に近接して上方に延びる請求項66記載の装置。 70. 前記犠牲プレートの水平部分は、前記サセプタの下部に延びる皿状の形状 にされ、前記サセプタを支持する回転可能な軸を受けるための中心孔を有する請 求項66記載の装置。 71. 前記リングの外側と前記開口を形成する入口壁の下流端との間の少しの隙 間で前記サセプタを囲む前記開口に温度補償リングを備え、前記犠牲プレートの 垂直リップは、前記隙間の中に延出する請求項66記載の装置。 72. 前記入口壁の下流縁は、凹状に曲げられた縁部を有するほぼ真っ直ぐな中 心部を備え、前記リングは、前記入口壁の下流縁の形状に一致する上流部を有す るほぼ矩形の外側縁を有し、前記犠牲プレートの垂直リップは、前記入 口壁の前記下流縁及び前記リングの前記上流縁の形状に一致するように形成され る請求項71記載の装置。 73. 前記犠牲プレートは、前記リングと前記入口壁の前記下流縁との間の隙間 で上方に延びる垂直リップを有する前記リングの下部に配置され、前記犠牲プレ ートは、さらに、前記垂直壁の前記上部縁から前記入口壁の部分の上の上流に延 びる水平なフランジを備える請求項71記載の装置。 74. 前記温度補償リングから前記ガス出口の方へ下流に延びるほぼ水平の石英 出口壁を備え、前記皿の上流端は、前記入口壁の前記下流縁の下部に支持され、 前記皿の下流端は、前記出口壁の下部で支持される請求項71記載の装置。 75. 化学気相成長装置であって、 上流端のチャンバガス入口及び下流端のガス出口を有する成長チャンバを規定 する壁と、 前記入口からサセプタを受けるための開口の部分を規定する下流縁まで延びる ほぼ水平な石英入口壁と、 前記出口から前記サセプタを受けるための前記開口の部分を規定する上流縁ま で延びるほぼ水平な石英出口壁と、 気相成長される半導体基プレートを受けるための前記開口に水平に配置された ほぼ円形のサセプタと、 前記サセプタを囲み、前記下流及び上流縁に近接して配置される犠牲石英プレ ートとを備え、 前記石英プレートは、前記プロセスチャンバの熱サイクルの繰り返しによる失 透から前記下流及び上流縁を保護するために、前記開口と一致させた外形を有す る装置。 76. 前記サセプタを囲む円形の温度補償リングを備え、前記犠牲石英プレート は、前記リングの外形とほぼ一致する内径を有する請求項75記載の装置。 77. 前記開口は、面取りされた矩形形状を有し、前記犠牲石英プレートは、最 小クリアランスで前記開口にほぼ一致する外形を有する請求項75記載の装置。 78. 前記犠牲石英プレートを支持するための入口及び出口壁に取り付けられる 支持部材を備える請求項75記載の装置。 79. 化学気相成長させる基板を受けるようにされた水平方向に延びるサセプタ を配置する開口の部分を規定する下流縁を備えた石英水平入口壁を有する化学気 相成長チャンバに関連して使用される方法であって、前記入口壁の気相成長及び 失透を最小にするために、前記入口壁の前記下流端と前記サセプタとの間に犠牲 石英プレートを配置するステップを含む方法。 80. その一部分が前記サセプタと前記入口壁の前記下流縁との間に延びる温度 補償リングにより前記サセプタを囲むステップを含む請求項79記載の方法。 81. 前記温度補償リングと前記入口壁の下流縁との間の隙間に前記犠牲プレー トの垂直リップを配置するステップを含む請求項80記載の方法。 82. 前記入口壁の前記下流縁の上で上流に延びるフランジを備える前記垂直リ ップの前記上部縁に短く水平に延びるフランジを提供するステップを含む請求項 81記載の方法。 83. 半導体基板を処理する装置であって、 各々が凸状の外部表面及び凹状の内部表面を有し、前記凹状の表面が互いに対 向する一対の曲壁と、 内部の空間を作るために前記曲壁の縁を結合する連結壁と、 前記連結壁の間に延びて前記連結壁に固定され、前記曲壁が前記空間内の圧力 より大きい外部圧力を受けるとき、前記曲壁が変形して平坦になるのに抵抗する ほぼ矩形のプレートとを備える装置。 84. 化学気相成長チャンバのウェーハへの加熱効率を改善する方法であって、 前記チャンバは、ガス入口及びガス出口を規定する実質的に放射透過性を有する 外部壁を有し、前記入口及び出口の間にウェーハを配置できるサセプタを収容で きるような大きさにされ、 外側のほぼ矩形の、真っ直ぐな側部を備える境界を有し、前記サセプタが前記 ガス入口の方に前記境界内で中心から 外れて位置するように構成された熱吸収リングで前記サセプタを囲み、 前記境界の突出形状にほぼ一致する大きさのバンクの複数のランプから熱エネ ルギーを放射して、前記チャンバ内に直接放射される全エネルギーが、前記境界 内の領域で衝突し、前記ウェーハ、サセプタ及びリングの構成により吸収される 方法。 85. 前記化学気相成長チャンバの外部壁は、各々が凸状の外部表面及び凹状の 内部表面を有し、前記凹状の表面が互いに対向する一対の曲壁と、前記サセプタ 上の前記ウェーハが配置される内部の空間を作るために前記曲壁の縁を結合する 連結壁とを備え、 前記連結壁の間に延びて固定される通常矩形のプレートの開口内に前記リング を配置する請求項84記載の方法。 86. 円形の開口を規定する前記リングの環状部分内に前記サセプタを同心的に 配置し、 前記リングの前記環状部分に温度センサを配置し、 前記センサを用いて前記サセプタの周囲縁で温度を検出する請求項84記載の方 法。 87. 化学気相成長装置であって、 基板を水平に配置するための領域を有し、前記基板の上部表面を横断するガス の流れを実現するチャンバ壁を有し、 自身の幅を通常横方向に横断して延びるガス入口を有するチャンバと、 複数のガス供給通路、前記通路の各々に連結されるマニフォールド、及び前記 通路の各々の流れを制御する別々に調整可能な計測バルブを有するガス噴射機と を備え、 前記通路の各々は、前記通路のガスの流れが隣接する流れから分離されて通常 平坦なガスの流れに分岐されるように構成された出口部分を有し、前記噴射機は 、さらに、流れ間で区分けされずに前記チャンバのガス入口の方に縁から縁まで ガスが直接流れる壁を備え、いずれか一つの流れの縁が隣接する流れの少なくと も一つの縁と混合される装置。 88. 前記噴射機は、基板が前記チャンバに挿入される開口を備え、前記ガスの 流れは、前記ウェーハを挿入した開口を通して前記チャンバに入れられる請求項 87記載の装置。 89. 前記噴射機は、前記チャンバに入って前記基板を横断して流れる帯状のガ スの流れを発生させるように横方向に延びる出口スロットを形成する請求項87記 載の装置。 90. 前記ガス出口スロットは、前記基板が挿入された開口に開かれる請求項89 記載の装置。 91. 前記通路は、垂直方向に向けられ、互いに横方向に間隔を開けられ、前記 通路の各々の前記出口部分は、前記 チャンバの入口からガスが流れるように構成され、前記壁は、下方で前記チャン バ入口の方にガスを流す請求項87記載の装置。 92. 前記噴射機は、前方部と前記チャンバの前方面との間に挟まれる後方部を 備え、前記通路は、前記後方部に形成され、前記ガスを流す前記壁は、前記噴射 機の前記前方部に形成される請求項91記載の装置。 93. 前記前方部は、下部に突き出し、ガスが前記チャンバの方に流れる薄い横 方向に延びるスロットを規定する前記後方部の部分の下に接近して配置されるリ ップ部を備える請求項92記載の装置。 94. 前記噴射機は、基板が前記チャンバ内に挿入される開口を備え、前記スロ ットは、前記開口に繋がる請求項93記載の装置。 95. 前記スロットは、前記チャンバの方にガスを流し、前記基板は、そこに配 置される請求項94記載の装置。 96. 成長の均一さを改善する化学気相成長装置の基板の上にガスを噴射する方 法であって、 前記装置は、前記基板を水平に配置するための領域を有するチャンバ及び前記 基板の上部面をガスが横断するためのチャンバ壁を備え、前記チャンバは、前記 チャンバの幅を横断して通常横方向に延びるガス入口を有し、 前記ガス入口に近接するガス噴射機の複数のバルブに共通の供給源からガスを 供給し、 前記バルブを通して複数のガス通路の中に入るガスを計測し、 隣接する流れから分離された通常平坦なガスの流れに横方向に分岐させるよう に、前記通路の各々に連結される膨張チャンバにガスを流し、 いずれか一つの流れの縁が隣接する流れの少なくとも一つの縁と混合されるよ うに、流れ間で区分けされずに前記チャンバのガス入口の方に縁から縁までガス を放出する方法。 97. 前記ガスを流すステップは、前記放出ステップの前に少なくとも90°ガ スの向きを変える請求項96記載の方法。 98. 前記ガス噴射機の通路は、垂直に配置され、前記ガスを流すステップでは 、前記チャンバから流出するようにほぼ90°ガスの向きを変え、前記放出ステ ップの前に通常前記チャンバの方に流れるようにほぼ180°ガスの向きを変え る請求項97記載の方法。 99. 前記放出ステップでは、前記チャンバにガスの連続した帯状の流れが形成 されるように前記チャンバより狭いスロットを通してガスの流れを放出する請求 項96記載の方 法。 100. 前記縁から縁までのガスの流れが、前記ガス噴射機と前記基板との間の所 定の距離に対して実質的に広がらないように制限するステップをさらに含む請求 項96記載の方法。 101. 前記制限ステップでは、前記縁から縁までのガスの流れを第一の幅からよ り小さい第二の幅までに収束させる請求項100記載の方法。
Applications Claiming Priority (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US186395P | 1995-08-03 | 1995-08-03 | |
US60/001,863 | 1995-08-03 | ||
US54946195A | 1995-10-27 | 1995-10-27 | |
US08/549,461 | 1995-10-27 | ||
US08/637,616 | 1996-04-25 | ||
US08/637,616 US6093252A (en) | 1995-08-03 | 1996-04-25 | Process chamber with inner support |
PCT/US1996/012641 WO1997006288A1 (en) | 1995-08-03 | 1996-08-01 | Process chamber with inner support |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007164121A Division JP4751371B2 (ja) | 1995-08-03 | 2007-06-21 | 内部支持部材を有するプロセスチャンバ |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH11510562A true JPH11510562A (ja) | 1999-09-14 |
JP4048329B2 JP4048329B2 (ja) | 2008-02-20 |
Family
ID=46202902
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP50855497A Expired - Lifetime JP4048329B2 (ja) | 1995-08-03 | 1996-08-01 | 内部支持部材を有するプロセスチャンバ |
Country Status (6)
Country | Link |
---|---|
US (3) | US6093252A (ja) |
EP (2) | EP0852628B1 (ja) |
JP (1) | JP4048329B2 (ja) |
AU (1) | AU6645596A (ja) |
DE (3) | DE69612389T2 (ja) |
WO (1) | WO1997006288A1 (ja) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2005531149A (ja) * | 2002-06-21 | 2005-10-13 | アプライド マテリアルズ インコーポレイテッド | 真空処理システムのための搬送チャンバ |
JP2007314884A (ja) * | 1995-08-03 | 2007-12-06 | Asm America Inc | 内部支持部材を有するプロセスチャンバ |
JP2009212531A (ja) * | 2003-07-15 | 2009-09-17 | Bridgelux Inc | 化学気相成長反応装置 |
US7784164B2 (en) | 2004-06-02 | 2010-08-31 | Applied Materials, Inc. | Electronic device manufacturing chamber method |
JP2016036017A (ja) * | 2007-12-20 | 2016-03-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ガス流分布が改善された熱反応器 |
WO2023047552A1 (ja) * | 2021-09-24 | 2023-03-30 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法およびプログラム |
Families Citing this family (436)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6093252A (en) * | 1995-08-03 | 2000-07-25 | Asm America, Inc. | Process chamber with inner support |
FR2763964B1 (fr) * | 1997-05-28 | 1999-08-13 | Sgs Thomson Microelectronics | Amelioration du flux gazeux dans un reacteur d'epitaxie |
KR20010031714A (ko) * | 1997-11-03 | 2001-04-16 | 러셀 엔. 페어뱅크스, 쥬니어 | 수명이 긴 고온 공정 챔버 |
US6749687B1 (en) | 1998-01-09 | 2004-06-15 | Asm America, Inc. | In situ growth of oxide and silicon layers |
DE19821007A1 (de) * | 1998-05-11 | 1999-11-25 | Steag Rtp Systems Gmbh | Verfahren und Vorrichtung zum thermischen Behandeln von Substraten |
US6185839B1 (en) * | 1998-05-28 | 2001-02-13 | Applied Materials, Inc. | Semiconductor process chamber having improved gas distributor |
US6786935B1 (en) | 2000-03-10 | 2004-09-07 | Applied Materials, Inc. | Vacuum processing system for producing components |
KR100752682B1 (ko) | 2000-04-06 | 2007-08-29 | 에이에스엠 아메리카, 인코포레이티드 | 유리질 보호용 장벽코팅 |
JP4050483B2 (ja) * | 2001-05-14 | 2008-02-20 | 株式会社日立国際電気 | 基板処理装置および半導体装置の製造方法 |
US7163587B2 (en) * | 2002-02-08 | 2007-01-16 | Axcelis Technologies, Inc. | Reactor assembly and processing method |
JP3688264B2 (ja) * | 2002-03-20 | 2005-08-24 | 東京エレクトロン株式会社 | 熱処理方法及び熱処理装置 |
US6861321B2 (en) * | 2002-04-05 | 2005-03-01 | Asm America, Inc. | Method of loading a wafer onto a wafer holder to reduce thermal shock |
US6825051B2 (en) | 2002-05-17 | 2004-11-30 | Asm America, Inc. | Plasma etch resistant coating and process |
US7601225B2 (en) * | 2002-06-17 | 2009-10-13 | Asm International N.V. | System for controlling the sublimation of reactants |
US6818864B2 (en) * | 2002-08-09 | 2004-11-16 | Asm America, Inc. | LED heat lamp arrays for CVD heating |
US6927140B2 (en) | 2002-08-21 | 2005-08-09 | Intel Corporation | Method for fabricating a bipolar transistor base |
JP3887291B2 (ja) * | 2002-09-24 | 2007-02-28 | 東京エレクトロン株式会社 | 基板処理装置 |
JP2004207687A (ja) * | 2002-12-10 | 2004-07-22 | Sharp Corp | 半導体製造装置とそれを用いた半導体製造方法 |
US7238595B2 (en) * | 2003-03-13 | 2007-07-03 | Asm America, Inc. | Epitaxial semiconductor deposition methods and structures |
US7682947B2 (en) * | 2003-03-13 | 2010-03-23 | Asm America, Inc. | Epitaxial semiconductor deposition methods and structures |
JP4268429B2 (ja) * | 2003-03-17 | 2009-05-27 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
WO2005017963A2 (en) * | 2003-08-04 | 2005-02-24 | Asm America, Inc. | Surface preparation prior to deposition on germanium |
US6974781B2 (en) | 2003-10-20 | 2005-12-13 | Asm International N.V. | Reactor precoating for reduced stress and uniform CVD |
US7108753B2 (en) * | 2003-10-29 | 2006-09-19 | Asm America, Inc. | Staggered ribs on process chamber to reduce thermal effects |
US7169233B2 (en) * | 2003-11-21 | 2007-01-30 | Asm America, Inc. | Reactor chamber |
US7021888B2 (en) | 2003-12-16 | 2006-04-04 | Universities Research Association, Inc. | Ultra-high speed vacuum pump system with first stage turbofan and second stage turbomolecular pump |
JP4379585B2 (ja) * | 2003-12-17 | 2009-12-09 | 信越半導体株式会社 | 気相成長装置およびエピタキシャルウェーハの製造方法 |
US6888104B1 (en) | 2004-02-05 | 2005-05-03 | Applied Materials, Inc. | Thermally matched support ring for substrate processing chamber |
US20050176252A1 (en) * | 2004-02-10 | 2005-08-11 | Goodman Matthew G. | Two-stage load for processing both sides of a wafer |
US7648579B2 (en) * | 2004-02-13 | 2010-01-19 | Asm America, Inc. | Substrate support system for reduced autodoping and backside deposition |
JP2005229043A (ja) * | 2004-02-16 | 2005-08-25 | Sumitomo Electric Ind Ltd | ヒータユニット及び該ヒータを搭載した装置 |
JP4982355B2 (ja) * | 2004-02-27 | 2012-07-25 | エーエスエム アメリカ インコーポレイテッド | ゲルマニウム膜の形成方法 |
CN103199039B (zh) * | 2004-06-02 | 2016-01-13 | 应用材料公司 | 电子装置制造室及其形成方法 |
US20060201074A1 (en) * | 2004-06-02 | 2006-09-14 | Shinichi Kurita | Electronic device manufacturing chamber and methods of forming the same |
US7253084B2 (en) * | 2004-09-03 | 2007-08-07 | Asm America, Inc. | Deposition from liquid sources |
US20060054090A1 (en) * | 2004-09-15 | 2006-03-16 | Applied Materials, Inc. | PECVD susceptor support construction |
JP2006093557A (ja) * | 2004-09-27 | 2006-04-06 | Sharp Corp | 気相成長装置 |
US20060084283A1 (en) * | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
JP4934595B2 (ja) | 2005-01-18 | 2012-05-16 | エーエスエム アメリカ インコーポレイテッド | 薄膜成長用反応装置 |
US7704896B2 (en) * | 2005-01-21 | 2010-04-27 | Asm International, N.V. | Atomic layer deposition of thin films on germanium |
KR101265364B1 (ko) * | 2005-05-23 | 2013-05-20 | 쓰리엠 이노베이티브 프로퍼티즈 컴파니 | 보조 매니폴드로부터의 유체 유동을 이용한 중합체성재료의 멜트블로잉을 위한 방법 및 장치 |
JP2008546078A (ja) * | 2005-05-23 | 2008-12-18 | スリーエム イノベイティブ プロパティズ カンパニー | 所望の質量重量特性を有する液体を放出するためのマニホールド及びその設計方法 |
US7396415B2 (en) * | 2005-06-02 | 2008-07-08 | Asm America, Inc. | Apparatus and methods for isolating chemical vapor reactions at a substrate surface |
JP2007012734A (ja) * | 2005-06-29 | 2007-01-18 | Matsushita Electric Ind Co Ltd | プラズマエッチング装置及びプラズマエッチング方法 |
KR100741975B1 (ko) * | 2005-08-25 | 2007-07-23 | 삼성에스디아이 주식회사 | 열처리 장치 및 이를 이용한 열처리 방법 |
EP1772901B1 (en) * | 2005-10-07 | 2012-07-25 | Rohm and Haas Electronic Materials, L.L.C. | Wafer holding article and method for semiconductor processing |
DE102005056320A1 (de) * | 2005-11-25 | 2007-06-06 | Aixtron Ag | CVD-Reaktor mit einem Gaseinlassorgan |
KR101332206B1 (ko) * | 2005-12-02 | 2013-11-25 | 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. | 반도체 처리 방법 |
US20070240644A1 (en) * | 2006-03-24 | 2007-10-18 | Hiroyuki Matsuura | Vertical plasma processing apparatus for semiconductor process |
US7648853B2 (en) | 2006-07-11 | 2010-01-19 | Asm America, Inc. | Dual channel heterostructure |
US7508609B2 (en) * | 2006-10-25 | 2009-03-24 | Spectra Logic Corporation | Formatted storage media providing space for encrypted text and dedicated space for clear text |
US9481943B2 (en) * | 2006-11-22 | 2016-11-01 | Soitec | Gallium trichloride injection scheme |
US9481944B2 (en) | 2006-11-22 | 2016-11-01 | Soitec | Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same |
US20080131979A1 (en) * | 2006-12-04 | 2008-06-05 | Sumitomo Electric Industries, Ltd. | Vapor-Phase Growth System and Vapor-Phase Growth Method |
KR100824301B1 (ko) * | 2006-12-21 | 2008-04-22 | 세메스 주식회사 | 반응 챔버와 이를 포함하는 탄소나노튜브 합성 장치 및 설비 |
ATE532609T1 (de) * | 2007-02-01 | 2011-11-15 | Ibp Conex Ltd | Einführ- und freigabewerkzeug für rohrverbindungsanordnung und verfahren zur verwendung solch eines werkzeugs |
US7874726B2 (en) | 2007-05-24 | 2011-01-25 | Asm America, Inc. | Thermocouple |
US8034410B2 (en) | 2007-07-17 | 2011-10-11 | Asm International N.V. | Protective inserts to line holes in parts for semiconductor process equipment |
US20090095422A1 (en) * | 2007-09-06 | 2009-04-16 | Hitachi Kokusai Electric Inc. | Semiconductor manufacturing apparatus and substrate processing method |
US7807222B2 (en) | 2007-09-17 | 2010-10-05 | Asm International N.V. | Semiconductor processing parts having apertures with deposited coatings and methods for forming the same |
JP2011501409A (ja) * | 2007-10-10 | 2011-01-06 | イザ,マイケル | 化学蒸着反応チャンバ |
US20090101633A1 (en) * | 2007-10-19 | 2009-04-23 | Asm America, Inc. | Reactor with small linear lamps for localized heat control and improved temperature uniformity |
US7939447B2 (en) * | 2007-10-26 | 2011-05-10 | Asm America, Inc. | Inhibitors for selective deposition of silicon containing films |
US7993057B2 (en) | 2007-12-20 | 2011-08-09 | Asm America, Inc. | Redundant temperature sensor for semiconductor processing chambers |
US7655543B2 (en) | 2007-12-21 | 2010-02-02 | Asm America, Inc. | Separate injection of reactive species in selective formation of films |
JP5060324B2 (ja) * | 2008-01-31 | 2012-10-31 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び処理容器 |
DE102008017784B4 (de) * | 2008-04-08 | 2014-04-17 | Ivoclar Vivadent Ag | Vorrichtung zum Anfertigen einer Muffel |
US20090280248A1 (en) * | 2008-05-06 | 2009-11-12 | Asm America, Inc. | Porous substrate holder with thinned portions |
US7946762B2 (en) | 2008-06-17 | 2011-05-24 | Asm America, Inc. | Thermocouple |
US20110033610A1 (en) * | 2008-06-30 | 2011-02-10 | Bertram Jr Ronald Thomas | Modular and readily configurable reactor enclosures and associated function modules |
US8343583B2 (en) | 2008-07-10 | 2013-01-01 | Asm International N.V. | Method for vaporizing non-gaseous precursor in a fluidized bed |
US8394229B2 (en) | 2008-08-07 | 2013-03-12 | Asm America, Inc. | Susceptor ring |
JP5276387B2 (ja) * | 2008-09-04 | 2013-08-28 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 |
US8801857B2 (en) | 2008-10-31 | 2014-08-12 | Asm America, Inc. | Self-centering susceptor ring assembly |
CN102203910B (zh) * | 2008-11-07 | 2014-12-10 | Asm美国公司 | 反应室 |
US8209833B2 (en) * | 2008-11-07 | 2012-07-03 | Tokyo Electron Limited | Thermal processing system and method of using |
US8512472B2 (en) * | 2008-11-13 | 2013-08-20 | Applied Materials, Inc. | Method and apparatus to enhance process gas temperature in a CVD reactor |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8012876B2 (en) * | 2008-12-02 | 2011-09-06 | Asm International N.V. | Delivery of vapor precursor from solid source |
KR101028410B1 (ko) * | 2008-12-29 | 2011-04-13 | 주식회사 케이씨텍 | 서셉터 및 이를 구비하는 원자층 증착장치 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8486191B2 (en) | 2009-04-07 | 2013-07-16 | Asm America, Inc. | Substrate reactor with adjustable injectors for mixing gases within reaction chamber |
IT1393695B1 (it) * | 2009-04-17 | 2012-05-08 | Lpe Spa | Camera di reazione di un reattore epitassiale e reattore che la utilizza |
US8382370B2 (en) | 2009-05-06 | 2013-02-26 | Asm America, Inc. | Thermocouple assembly with guarded thermocouple junction |
US9297705B2 (en) | 2009-05-06 | 2016-03-29 | Asm America, Inc. | Smart temperature measuring device |
US8802201B2 (en) * | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9885123B2 (en) | 2011-03-16 | 2018-02-06 | Asm America, Inc. | Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow |
US9512520B2 (en) * | 2011-04-25 | 2016-12-06 | Applied Materials, Inc. | Semiconductor substrate processing system |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9127345B2 (en) | 2012-03-06 | 2015-09-08 | Asm America, Inc. | Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent |
TWI565825B (zh) * | 2012-06-07 | 2017-01-11 | 索泰克公司 | 沉積系統之氣體注入組件及相關使用方法 |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9171715B2 (en) | 2012-09-05 | 2015-10-27 | Asm Ip Holding B.V. | Atomic layer deposition of GeO2 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9748120B2 (en) | 2013-07-01 | 2017-08-29 | Lam Research Ag | Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus |
WO2014103728A1 (ja) * | 2012-12-27 | 2014-07-03 | 昭和電工株式会社 | 成膜装置 |
US9583363B2 (en) | 2012-12-31 | 2017-02-28 | Sunedison Semiconductor Limited (Uen201334164H) | Processes and apparatus for preparing heterostructures with reduced strain by radial distension |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
USD702188S1 (en) | 2013-03-08 | 2014-04-08 | Asm Ip Holding B.V. | Thermocouple |
TWI502096B (zh) * | 2013-06-17 | 2015-10-01 | Ind Tech Res Inst | 用於化學氣相沉積的反應裝置及反應製程 |
US10047457B2 (en) * | 2013-09-16 | 2018-08-14 | Applied Materials, Inc. | EPI pre-heat ring |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9530623B2 (en) * | 2013-11-26 | 2016-12-27 | Applied Materials, Inc. | Process chamber apparatus, systems, and methods for controlling a gas flow pattern |
US9218963B2 (en) | 2013-12-19 | 2015-12-22 | Asm Ip Holding B.V. | Cyclical deposition of germanium |
KR101525210B1 (ko) * | 2013-12-20 | 2015-06-05 | 주식회사 유진테크 | 기판 처리장치 |
KR102381816B1 (ko) | 2014-02-14 | 2022-04-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 주입 어셈블리를 갖는 상부 돔 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
TWI537083B (zh) * | 2014-03-18 | 2016-06-11 | 永發欣業有限公司 | 加熱單元及包含其之真空焊接機 |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10490426B2 (en) | 2014-08-26 | 2019-11-26 | Lam Research Ag | Method and apparatus for processing wafer-shaped articles |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
TWI571529B (zh) * | 2015-12-18 | 2017-02-21 | 國立清華大學 | 具導流板之封閉式流道反應槽系統 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) * | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
CN117107221A (zh) * | 2016-03-28 | 2023-11-24 | 应用材料公司 | 基座支撑件 |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
USD793352S1 (en) * | 2016-07-11 | 2017-08-01 | Asm Ip Holding B.V. | Getter plate |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10840114B1 (en) * | 2016-07-26 | 2020-11-17 | Raytheon Company | Rapid thermal anneal apparatus and method |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10446420B2 (en) * | 2016-08-19 | 2019-10-15 | Applied Materials, Inc. | Upper cone for epitaxy chamber |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) * | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10919014B2 (en) * | 2018-04-09 | 2021-02-16 | Craig Technical Consulting, Inc. | High-load vacuum chamber motion feedthrough systems and methods |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
CN214848503U (zh) * | 2018-08-29 | 2021-11-23 | 应用材料公司 | 注入器设备、基板处理设备及在机器可读介质中实现的结构 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
CN111446185A (zh) | 2019-01-17 | 2020-07-24 | Asm Ip 控股有限公司 | 通风基座 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
USD920936S1 (en) | 2019-01-17 | 2021-06-01 | Asm Ip Holding B.V. | Higher temperature vented susceptor |
USD914620S1 (en) | 2019-01-17 | 2021-03-30 | Asm Ip Holding B.V. | Vented susceptor |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
TWI845682B (zh) | 2019-05-22 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 工件基座主體 |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
US11032945B2 (en) * | 2019-07-12 | 2021-06-08 | Applied Materials, Inc. | Heat shield assembly for an epitaxy chamber |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11764101B2 (en) | 2019-10-24 | 2023-09-19 | ASM IP Holding, B.V. | Susceptor for semiconductor substrate processing |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
IT201900022047A1 (it) * | 2019-11-25 | 2021-05-25 | Lpe Spa | Dispositivo di supporto substrati per una camera di reazione di un reattore epitassiale con rotazione a flusso di gas, camera di reazione e reattore epitassiale |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
CN112331609B (zh) * | 2020-10-26 | 2023-12-22 | 北京北方华创微电子装备有限公司 | 半导体工艺设备中的加热基座及半导体工艺设备 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
USD1031676S1 (en) | 2020-12-04 | 2024-06-18 | Asm Ip Holding B.V. | Combined susceptor, support, and lift system |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
CN112831771A (zh) * | 2020-12-30 | 2021-05-25 | 上海埃原半导体设备有限公司 | 一种化学气相沉积用的非金属反应腔 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1028913S1 (en) | 2021-06-30 | 2024-05-28 | Asm Ip Holding B.V. | Semiconductor deposition reactor ring |
TW202314034A (zh) * | 2021-06-30 | 2023-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 化學氣相沉積系統及其組合 |
CN113718332A (zh) * | 2021-08-30 | 2021-11-30 | 北京北方华创微电子装备有限公司 | 半导体设备 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN115197576B (zh) * | 2022-07-12 | 2024-04-09 | 苏州昌和应用材料有限公司 | 压合机用硅胶垫及其制造方法、热风循环烘箱 |
Family Cites Families (71)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3560166A (en) * | 1968-02-05 | 1971-02-02 | Dow Chemical Co | System for treatment of substrates by a plurality of fluid reactants |
US3627590A (en) * | 1968-12-02 | 1971-12-14 | Western Electric Co | Method for heat treatment of workpieces |
US3598082A (en) * | 1969-08-14 | 1971-08-10 | Texas Instruments Inc | Continuous epitaxial deposition system |
US3744964A (en) * | 1971-05-28 | 1973-07-10 | Texas Instruments Inc | High temperature diffusion tube |
US3830194A (en) * | 1972-09-28 | 1974-08-20 | Applied Materials Tech | Susceptor support structure and docking assembly |
US4076859A (en) * | 1973-08-29 | 1978-02-28 | Schladitz-Whiskers Ag | Process for metallizing strips, sheets or the like |
DE2343499C3 (de) * | 1973-08-29 | 1980-07-10 | Schladitz-Whiskers Ag, Zug (Schweiz) | Verfahren und Vorrichtung zum Metallisieren von bewegtem flächigem Gut |
US3956860A (en) * | 1974-05-20 | 1976-05-18 | Polycyl (Engineering) Limited | Construction of containers or tanks |
US4108108A (en) * | 1974-07-10 | 1978-08-22 | Schladitz-Whiskers Ag. | Apparatus for metallizing strips, sheets or the like |
US4188519A (en) * | 1978-03-20 | 1980-02-12 | Pyreflex Corporation | Process and apparatus for controllably exchanging heat between two bodies |
JPS57198620A (en) * | 1981-06-01 | 1982-12-06 | Fujitsu Ltd | Vapor growth of compound semiconductor |
US4512283A (en) * | 1982-02-01 | 1985-04-23 | Texas Instruments Incorporated | Plasma reactor sidewall shield |
JPS59928A (ja) * | 1982-06-25 | 1984-01-06 | Ushio Inc | 光加熱装置 |
US4545327A (en) * | 1982-08-27 | 1985-10-08 | Anicon, Inc. | Chemical vapor deposition apparatus |
US4886449A (en) * | 1982-12-04 | 1989-12-12 | General Motors Corporation | Vacuum brazing of aluminum alloy workpieces |
JPS59112614A (ja) * | 1982-12-17 | 1984-06-29 | Matsushita Electric Ind Co Ltd | 気相成長装置 |
JPS59223294A (ja) * | 1983-06-01 | 1984-12-15 | Matsushita Electric Ind Co Ltd | 気相成長装置 |
US4539933A (en) * | 1983-08-31 | 1985-09-10 | Anicon, Inc. | Chemical vapor deposition apparatus |
US4590024A (en) * | 1984-03-29 | 1986-05-20 | Solavolt International | Silicon deposition process |
JPS6153197A (ja) * | 1984-08-18 | 1986-03-17 | Matsushita Electric Ind Co Ltd | 結晶成長装置 |
JPS62166624U (ja) * | 1986-04-14 | 1987-10-22 | ||
US4994301A (en) * | 1986-06-30 | 1991-02-19 | Nihon Sinku Gijutsu Kabusiki Kaisha | ACVD (chemical vapor deposition) method for selectively depositing metal on a substrate |
EP0255454A3 (en) * | 1986-07-26 | 1991-11-21 | Nihon Shinku Gijutsu Kabushiki Kaisha | Apparatus for chemical vapor deposition |
JPS63153388A (ja) * | 1986-08-23 | 1988-06-25 | 東レ株式会社 | 熱処理炉 |
US4839145A (en) * | 1986-08-27 | 1989-06-13 | Massachusetts Institute Of Technology | Chemical vapor deposition reactor |
US4807562A (en) * | 1987-01-05 | 1989-02-28 | Norman Sandys | Reactor for heating semiconductor substrates |
US5091219A (en) * | 1987-02-17 | 1992-02-25 | Lam Research Corporation | Chemical vapor deposition method |
US4821674A (en) * | 1987-03-31 | 1989-04-18 | Deboer Wiebe B | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
US4836138A (en) * | 1987-06-18 | 1989-06-06 | Epsilon Technology, Inc. | Heating system for reaction chamber of chemical vapor deposition equipment |
US5096534A (en) * | 1987-06-24 | 1992-03-17 | Epsilon Technology, Inc. | Method for improving the reactant gas flow in a reaction chamber |
US4828224A (en) * | 1987-10-15 | 1989-05-09 | Epsilon Technology, Inc. | Chemical vapor deposition system |
US5221556A (en) * | 1987-06-24 | 1993-06-22 | Epsilon Technology, Inc. | Gas injectors for reaction chambers in CVD systems |
DE3721636A1 (de) * | 1987-06-30 | 1989-01-12 | Aixtron Gmbh | Quarzglasreaktor fuer mocvd-anlagen |
US5062386A (en) * | 1987-07-27 | 1991-11-05 | Epitaxy Systems, Inc. | Induction heated pancake epitaxial reactor |
US4854263B1 (en) * | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
JPS6453544A (en) * | 1987-08-25 | 1989-03-01 | Mitsubishi Electric Corp | Semiconductor manufacturing apparatus |
US5092728A (en) * | 1987-10-15 | 1992-03-03 | Epsilon Technology, Inc. | Substrate loading apparatus for a CVD process |
US4980204A (en) * | 1987-11-27 | 1990-12-25 | Fujitsu Limited | Metal organic chemical vapor deposition method with controlled gas flow rate |
GB2213837B (en) * | 1987-12-22 | 1992-03-11 | Philips Electronic Associated | Electronic device manufacture with deposition of material |
DE3807302A1 (de) * | 1988-03-05 | 1989-09-14 | Dornier Gmbh | Spiegelofen |
US4993360A (en) * | 1988-03-28 | 1991-02-19 | Kabushiki Kaisha Toshiba | Vapor growth apparatus having a diffuser section containing a flow regulating member |
KR0155545B1 (ko) * | 1988-06-27 | 1998-12-01 | 고다까 토시오 | 기판의 열처리 장치 |
JPH0225577A (ja) * | 1988-07-15 | 1990-01-29 | Mitsubishi Electric Corp | 薄膜形成装置 |
US4920918A (en) * | 1989-04-18 | 1990-05-01 | Applied Materials, Inc. | Pressure-resistant thermal reactor system for semiconductor processing |
JPH0633233B2 (ja) * | 1989-10-13 | 1994-05-02 | 住友金属工業株式会社 | 気相成長装置 |
US5077875A (en) * | 1990-01-31 | 1992-01-07 | Raytheon Company | Reactor vessel for the growth of heterojunction devices |
US5108792A (en) * | 1990-03-09 | 1992-04-28 | Applied Materials, Inc. | Double-dome reactor for semiconductor processing |
US5179677A (en) * | 1990-08-16 | 1993-01-12 | Applied Materials, Inc. | Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity |
US5085887A (en) * | 1990-09-07 | 1992-02-04 | Applied Materials, Inc. | Wafer reactor vessel window with pressure-thermal compensation |
DE4132204C2 (de) * | 1991-09-27 | 1999-11-04 | Leybold Ag | Vorrichtung zur Reduzierung der Durchbiegung von Außenwänden an Vakuumbehältern |
JP3057330B2 (ja) | 1991-09-27 | 2000-06-26 | コマツ電子金属株式会社 | ガス導入装置、エピタキシャル成長装置およびエピタキシャル成長方法 |
JP3131005B2 (ja) * | 1992-03-06 | 2001-01-31 | パイオニア株式会社 | 化合物半導体気相成長装置 |
US5455069A (en) * | 1992-06-01 | 1995-10-03 | Motorola, Inc. | Method of improving layer uniformity in a CVD reactor |
US5370736A (en) | 1992-10-26 | 1994-12-06 | Texas Instruments Incorporated | Horizontal reactor hardware design |
JPH06151338A (ja) * | 1992-11-06 | 1994-05-31 | Sumitomo Metal Ind Ltd | 気相成長装置 |
US5328722A (en) * | 1992-11-06 | 1994-07-12 | Applied Materials, Inc. | Metal chemical vapor deposition process using a shadow ring |
US5292554A (en) | 1992-11-12 | 1994-03-08 | Applied Materials, Inc. | Deposition apparatus using a perforated pumping plate |
US5271963A (en) * | 1992-11-16 | 1993-12-21 | Materials Research Corporation | Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction |
US5421893A (en) * | 1993-02-26 | 1995-06-06 | Applied Materials, Inc. | Susceptor drive and wafer displacement mechanism |
JP3292540B2 (ja) * | 1993-03-03 | 2002-06-17 | 東京エレクトロン株式会社 | 熱処理装置 |
US5421957A (en) * | 1993-07-30 | 1995-06-06 | Applied Materials, Inc. | Low temperature etching in cold-wall CVD systems |
US5421401A (en) * | 1994-01-25 | 1995-06-06 | Applied Materials, Inc. | Compound clamp ring for semiconductor wafers |
US5551982A (en) * | 1994-03-31 | 1996-09-03 | Applied Materials, Inc. | Semiconductor wafer process chamber with susceptor back coating |
JPH08316154A (ja) * | 1995-02-23 | 1996-11-29 | Applied Materials Inc | 疑似ホットウォール反応チャンバ |
US5685906A (en) * | 1995-03-23 | 1997-11-11 | Seh America, Inc. | Method and apparatus for configuring an epitaxial reactor for reduced set-up time and improved layer quality |
US6093252A (en) * | 1995-08-03 | 2000-07-25 | Asm America, Inc. | Process chamber with inner support |
US6113702A (en) * | 1995-09-01 | 2000-09-05 | Asm America, Inc. | Wafer support system |
DE69732722T2 (de) * | 1996-03-22 | 2006-02-02 | Taiyo Nippon Sanso Corporation | CVD Verfahren |
FR2763964B1 (fr) * | 1997-05-28 | 1999-08-13 | Sgs Thomson Microelectronics | Amelioration du flux gazeux dans un reacteur d'epitaxie |
KR20010031714A (ko) * | 1997-11-03 | 2001-04-16 | 러셀 엔. 페어뱅크스, 쥬니어 | 수명이 긴 고온 공정 챔버 |
KR100752682B1 (ko) * | 2000-04-06 | 2007-08-29 | 에이에스엠 아메리카, 인코포레이티드 | 유리질 보호용 장벽코팅 |
-
1996
- 1996-04-25 US US08/637,616 patent/US6093252A/en not_active Expired - Lifetime
- 1996-08-01 EP EP96926233A patent/EP0852628B1/en not_active Expired - Lifetime
- 1996-08-01 WO PCT/US1996/012641 patent/WO1997006288A1/en active IP Right Grant
- 1996-08-01 JP JP50855497A patent/JP4048329B2/ja not_active Expired - Lifetime
- 1996-08-01 AU AU66455/96A patent/AU6645596A/en not_active Abandoned
- 1996-08-01 EP EP00202096A patent/EP1036860A3/en not_active Withdrawn
- 1996-08-01 DE DE69612389T patent/DE69612389T2/de not_active Expired - Fee Related
- 1996-08-01 DE DE69632597T patent/DE69632597T2/de not_active Expired - Fee Related
- 1996-08-01 DE DE69628211T patent/DE69628211T2/de not_active Expired - Fee Related
-
2000
- 2000-07-11 US US09/613,437 patent/US6464792B1/en not_active Expired - Fee Related
-
2002
- 2002-08-01 US US10/211,776 patent/US6608287B2/en not_active Expired - Fee Related
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007314884A (ja) * | 1995-08-03 | 2007-12-06 | Asm America Inc | 内部支持部材を有するプロセスチャンバ |
JP2005531149A (ja) * | 2002-06-21 | 2005-10-13 | アプライド マテリアルズ インコーポレイテッド | 真空処理システムのための搬送チャンバ |
JP2011018923A (ja) * | 2002-06-21 | 2011-01-27 | Applied Materials Inc | 真空処理システムのための搬送チャンバ |
JP2009212531A (ja) * | 2003-07-15 | 2009-09-17 | Bridgelux Inc | 化学気相成長反応装置 |
US7784164B2 (en) | 2004-06-02 | 2010-08-31 | Applied Materials, Inc. | Electronic device manufacturing chamber method |
JP2016036017A (ja) * | 2007-12-20 | 2016-03-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | ガス流分布が改善された熱反応器 |
WO2023047552A1 (ja) * | 2021-09-24 | 2023-03-30 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法およびプログラム |
Also Published As
Publication number | Publication date |
---|---|
DE69628211T2 (de) | 2004-02-19 |
US6093252A (en) | 2000-07-25 |
DE69632597T2 (de) | 2005-06-09 |
DE69612389T2 (de) | 2001-10-11 |
US20020179586A1 (en) | 2002-12-05 |
EP1036860A3 (en) | 2000-12-06 |
DE69632597D1 (de) | 2004-07-01 |
EP1036860A2 (en) | 2000-09-20 |
EP0852628A1 (en) | 1998-07-15 |
AU6645596A (en) | 1997-03-05 |
EP0852628B1 (en) | 2001-04-04 |
DE69628211D1 (de) | 2003-06-18 |
JP4048329B2 (ja) | 2008-02-20 |
WO1997006288A1 (en) | 1997-02-20 |
US6464792B1 (en) | 2002-10-15 |
DE69612389D1 (de) | 2001-05-10 |
US6608287B2 (en) | 2003-08-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JPH11510562A (ja) | 内部支持部材を有するプロセスチャンバ | |
JP4751371B2 (ja) | 内部支持部材を有するプロセスチャンバ | |
JP4108748B2 (ja) | コールドウォール気相成長法 | |
US4858558A (en) | Film forming apparatus | |
US20140326185A1 (en) | Inject and exhaust design for epi chamber flow manipulation | |
CN104995717A (zh) | 外延基环 | |
JP2001068423A (ja) | 半導体薄膜蒸着装置 | |
CN108364889A (zh) | 石英上拱形结构及下拱形结构 | |
JP2002141294A (ja) | ゾーン加熱用改良加熱ランプ | |
WO2010123741A2 (en) | Quartz window having gas feed and processing equipment incorporating same | |
EP1315854B1 (en) | Apparatus and method for cleaning a bell jar in a barrel epitaxial reactor | |
KR20230125281A (ko) | 반도체 웨이퍼 반응기에서의 예열 링을 위한 시스템들및 방법들 | |
JP2641351B2 (ja) | 可変分配率ガス流反応室 | |
JPH02263984A (ja) | Ccvd反応器システム | |
EP1076732B1 (en) | Injector for reactor | |
KR20230004325A (ko) | 석영 불투명화를 감소하기 위한 반도체 증착 반응기 및 구성 요소 | |
JPH0518452B2 (ja) | ||
JPH01259525A (ja) | 薄膜形成装置 | |
JPS6050919A (ja) | 気相成長装置 | |
JPS61177713A (ja) | 炭化珪素化合物半導体の気相エピタキシヤル成長装置 | |
JPH0722318A (ja) | 縦形気相エピタキシャル成長装置 | |
JP2001085337A (ja) | 縦型熱処理炉およびその設置構造 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070403 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070621 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20071023 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20071113 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20101207 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20101207 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20111207 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20111207 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121207 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121207 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131207 Year of fee payment: 6 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |