JP4048329B2 - 内部支持部材を有するプロセスチャンバ - Google Patents
内部支持部材を有するプロセスチャンバ Download PDFInfo
- Publication number
- JP4048329B2 JP4048329B2 JP50855497A JP50855497A JP4048329B2 JP 4048329 B2 JP4048329 B2 JP 4048329B2 JP 50855497 A JP50855497 A JP 50855497A JP 50855497 A JP50855497 A JP 50855497A JP 4048329 B2 JP4048329 B2 JP 4048329B2
- Authority
- JP
- Japan
- Prior art keywords
- chamber
- plate
- susceptor
- wall
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000000034 method Methods 0.000 title claims description 119
- 230000008569 process Effects 0.000 title claims description 105
- 235000012431 wafers Nutrition 0.000 claims description 103
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 88
- 239000010453 quartz Substances 0.000 claims description 86
- 238000012545 processing Methods 0.000 claims description 28
- 239000000463 material Substances 0.000 claims description 12
- 239000004065 semiconductor Substances 0.000 claims description 11
- 230000008093 supporting effect Effects 0.000 claims description 5
- 238000004519 manufacturing process Methods 0.000 claims description 4
- 239000000758 substrate Substances 0.000 claims description 3
- 239000006096 absorbing agent Substances 0.000 claims 1
- 230000005855 radiation Effects 0.000 claims 1
- 239000007789 gas Substances 0.000 description 200
- 238000011144 upstream manufacturing Methods 0.000 description 34
- 230000035882 stress Effects 0.000 description 27
- 238000010926 purge Methods 0.000 description 25
- 230000008901 benefit Effects 0.000 description 14
- 230000001976 improved effect Effects 0.000 description 14
- 238000005229 chemical vapour deposition Methods 0.000 description 13
- 238000010438 heat treatment Methods 0.000 description 12
- 239000012495 reaction gas Substances 0.000 description 11
- 238000006243 chemical reaction Methods 0.000 description 10
- 238000004031 devitrification Methods 0.000 description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- 238000009826 distribution Methods 0.000 description 8
- 238000003466 welding Methods 0.000 description 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 7
- 238000000151 deposition Methods 0.000 description 7
- 229910002804 graphite Inorganic materials 0.000 description 7
- 239000010439 graphite Substances 0.000 description 7
- 239000000498 cooling water Substances 0.000 description 6
- 230000008021 deposition Effects 0.000 description 6
- 230000008859 change Effects 0.000 description 5
- 238000001816 cooling Methods 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 239000012530 fluid Substances 0.000 description 5
- 239000000376 reactant Substances 0.000 description 5
- 230000001105 regulatory effect Effects 0.000 description 5
- 238000009792 diffusion process Methods 0.000 description 4
- 238000006073 displacement reaction Methods 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 230000001965 increasing effect Effects 0.000 description 4
- 238000002156 mixing Methods 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 238000005452 bending Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 230000003014 reinforcing effect Effects 0.000 description 3
- 238000005382 thermal cycling Methods 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- 230000002411 adverse Effects 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005520 cutting process Methods 0.000 description 2
- 230000006837 decompression Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 230000001939 inductive effect Effects 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 230000000153 supplemental effect Effects 0.000 description 2
- 230000032258 transport Effects 0.000 description 2
- 238000001947 vapour-phase growth Methods 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 239000011358 absorbing material Substances 0.000 description 1
- 230000032683 aging Effects 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000002485 combustion reaction Methods 0.000 description 1
- 230000001010 compromised effect Effects 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 1
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000005304 joining Methods 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 230000035699 permeability Effects 0.000 description 1
- 230000002787 reinforcement Effects 0.000 description 1
- 230000004043 responsiveness Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000005549 size reduction Methods 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
Images
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B01—PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
- B01J—CHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
- B01J3/00—Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
- B01J3/006—Processes utilising sub-atmospheric pressure; Apparatus therefor
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/48—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
- C23C16/481—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67115—Apparatus for thermal treatment mainly by radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Toxicology (AREA)
- Health & Medical Sciences (AREA)
- Chemical Vapour Deposition (AREA)
Description
本発明は、化学気相成長又は半導体ウェーハの他のプロセス等のプロセスチャンバに関し、特に、高温、低圧プロセスに関係する応力に耐え、ウェーハ温度の均一さ及びガスフロー特性を改善することができるプロセスチャンバに関するものである。
発明の背景
半導体ウェーハを熱処理するプロセスチャンバは、石英(ガラス質のシリカ)が実質的に放射エネルギーを透過するため、石英又は同様の材料から作られる。このように、放射ヒータは、チャンバの外部に近接して配置され、チャンバ壁を同じレベルまで加熱せずに、チャンバ内で処理されるウェーハを高温に加熱することができる。ここで、大変高い温度に耐えるため、石英が望ましい。また、種々のプロセスガスによる劣化に耐えうる不活性特性及び高純度特性のため、石英が望ましい。
石英チャンバ内の圧力が周りの周囲圧力よりずっと低い場合に、曲面が内部に直接かかる圧力に最も耐えるため、円柱又は球体状のチャンバが強度の点から好ましい。しかしながら、ウェーハと平行に成長ガスを流すように化学気相成長させる平坦なウェーハを配置するときには、チャンバ壁は、ウェーハ面で成長させるためにウェーハの対向する平坦面に平行であることが望ましい。均一な成長が、ウェーハから作られる製品の歩留まりを高めるのに重要である。しかしながら、平坦な壁は、内部の減圧で同じ大きさ及び厚さの凸状の壁より早く壊れやすい。
平坦な壁を有するチャンバの内側にかかる力を扱うために、米国特許第4920918号に示されるように、補強プレートが、結合される壁に対して通常垂直に延びる壁の外側に備えられる。また、この特許は、大きな曲率半径を有する外側に凸状の楕円状の上部壁及び下部壁を具備し、一部平坦で、一部曲面に構成されたチャンバの外側に備えられた補強プレートを示している。この解決策は、曲面の壁から付加的な力をもたらし、平坦な成長に作用しない。このような設計の不利な点の一つは、外部の補強プレートが放射熱ランプと輻輳して干渉することである。さらに、石英補強プレートの複雑さ及び質量により、材料及び製造コストが増加する。
もちろん、平坦な壁は、強度を増すように厚くすることができるが、コストが増加し、チャンバの加熱及び冷却特性に悪影響を与える。
米国特許第5085887号は、円形のややドーム型の又は曲面を有する上部チャンバ壁を備え、チャンバの減圧負荷に適応するチャンバを開示する。円形の壁は、ドーム型の壁を熱膨張により外側に屈服させるように上部壁を放射状に規定するかなり厚い周囲フランジを備え、真空時に外側の周囲圧力に耐える。チャンバは、上部壁及び下部壁の厚くされた外部フランジをクランプする複雑な機構を必要とする。
熱的に活性化された化学気相成長プロセスに伴う高温により、プロセスチャンバがしばしばある温度まで加熱され、化学微粒子がそこに堆積する。これらの微粒子は、処理後のウェーハの純度に深刻な問題をもたらす。この結果、プロセスチャンバ壁に形成される微粒子を低減する試みがなされている。一つの解決策は、有害なレベルになる前に、定期的にプロセスチャンバの内部をエッチングし、微粒子を取り除くことである。しかしながら、石英プロセスチャンバは、放射熱への高い透過性のため長時間加熱される。このような周期的な遅いエッチサイクルにより、機械の最大スループットが低下する。
また、より均一に成長させるため、処理されるウェーハを平行に横切るガスの流し方を制御することも行われている。たとえば、米国特許第5221556号は、ガスの入口のマニフィールドを通る開口の大きさを、ある部分、特に他に対向する中心部分により多くのガスを流すように変更したシステムが開示されている。米国特許第5269847号は、処理されるウェーハの縦方向の上流側に流される複数の独立した流れに統合するガス流調整バルブを備える。このシステムは、不完全な混合を防止し、ウェーハを横切る反応ガス及びキャリアガスの流し方及び濃度をより制御するように、種々のガス流を分離して流すことが重要であることを強調する。
従来技術ではあまり注意されていない問題として、平行流反応炉の反応ガスの再循環がある。特に、ガスがウェーハ及びサセプタに平行に流された後、熱いサセプタと冷たいチャンバ壁との間に温度勾配があるかもしれない。ガスが壁の方に流れ、後で冷却されるような再循環を行うことができる。いずれかの供給源からの再循環は、上流に移動し、ウェーハの部分の流れの均一さに影響し、成長膜の均一さを低下させるかもしれない。
さらに、ウェーハ上の温度勾配は、前縁から後縁までで不均一である。すなわち、ガスの温度は、ウェーハの下部にある熱が集中しているサセプタに近接することにより主に決定される。ガスがサセプタの上に接近し、通過すると、サセプタの下流端が最大温度に素早く加熱され、その点を通過した後、降下する。この温度の不均一さは、成長膜の均一さに悪影響を与えるかもしれない。
化学気相成長プロセス及び他のプロセスに使用され、石英又は同様の材料から作られ、減圧プロセスに付随する応力に耐えるチャンバの改善が要望されている。より均一な成長を保証するウェーハのまわりのより均一な温度及びガスの流れが要望されている。また、より応答性よくガスの流れを制御するシステムが要望されている。また、高いスループットでよりエネルギーの高い化学気相成長システムが要望されている。
発明の概要
すなわち、本発明は、平坦に構成された薄い曲面の上部壁及び下部壁を有するプロセスチャンバを提供する。上部壁及び下部壁は、凸状の外部面及び凹状の内部面を有する。これらの壁は、サイドレールの側部で連結され、チャンバにほぼ平坦な楕円又はレンズ状の断面を与え、チャンバの内部の高さは、側壁の幅又は距離よりも短い。サイドレールを横断して延びるとともに連結される内部支持部材は、チャンバの内部の圧力が外より低いモードで操作されるとき、チャンバの破損を防止できる強度を備える。
好ましくは、チャンバの上部壁及び下部壁は、ほぼ矩形形状を有し、離間されたサイドレールは、壁の長さを拡大する。これは、細長い構成を与える。内部の支持部材は、入口のフランジまで延びる入口部及び出口のフランジまで延びる出口部を備え、二つの部分の間に大きな開口を有するプレート形状を有する。支持プレートは、実質的にチャンバを上部領域及び下部領域に分割する。サセプタは、支持プレートの開口に配置され、チャンバの下部壁から延びる管を通して延びるシャフトに支持される。処理される半導体ウェーハ又は他の要素は、入口のフランジを通して挿入され、支持プレートの入口部にほぼ位置あわせされたサセプタに支持され、反応ガスは、入口の支持プレートの部分の上及び処理されるウェーハの表面を横切って円滑に流れることができる。この点で、チャンバの上部領域は、ウェーハの処理に独占的に割り当てられることが好ましい。
チャンバの上部壁及び下部壁は、石英から作られ、大半径の円柱状管からカットされた部材により構成され、又は、曲線プレートに形成されることが好ましい。これらの部分は、上部壁及び下部壁の縁に溶接しやすいような形に成形され又はカットされた側壁に溶接されることが好ましい。好ましくはないが、単純な円形、楕円形、又は放物線形状ではなく、楕円形の、放物線状の、又は急に曲がったプレート状の断面を有する要素で構成することも可能である。
支持プレートは、石英から作られ、上部壁及び下部壁の間の中心に位置することが好ましく、これらの壁の応力は、均一である。
このようなチャンバは、減圧処理に耐えることができ、一体化され、薄い石英の上部壁及び下部壁を通して放射エネルギーを伝達する放射ヒータの位置と干渉する外部の支持部材を必要としないという利点がある。また、内部の支持プレートは、チャンバを通した反応ガスの流れを妨害せず、事実、縁より流路の中心でより多くのガスを流すことによって、望ましいガスの流れをもたらすように作用する。さらに、内部の支持部材は、チャンバのウェーハ、サセプタ、又はサセプタリングからの流入又は流出を妨害しない。
本発明の他の局面では、チャンバガスの入口及び出口を有する成長質を規定する壁を備える化学気相成長装置が提供される。ほぼ水平な石英の入口壁は、チャンバの入口からサセプタを受ける開口の部分を規定する下流の縁まで延びる。ほぼ円形のサセプタは、開口に水平に位置し、気相成長させる半導体基板を受ける。装置は、さらに、水平部分と下流縁の失透及び気相成長を最小にする入口壁の下流縁に近接する開口に延びる垂直リップとを有する犠牲石英プレートを備える。他の実施形態では、石英プレートの水平部分が入口壁に置かれる。下流縁を規定する開口の部分は、曲げられ、垂直リップは、開口の曲面部分を形成するように曲げられ、入口壁の下流縁の半分を保護するような大きさにされる。第二の犠牲プレートは、サセプタの曲面縁又は下流縁の他の半分を保護するようにサセプタの周りに位置するリングに沿うように曲げられた垂直リップを有するように設けられる。
また、他の好ましい実施形態では、犠牲プレートは、入口壁の下で支持され、その垂直リップは、入口壁の下流縁に近接して延びている。犠牲プレートは、サセプタの下に延び、サセプタを回転可能に支持するシャフトを受ける中心孔を有する皿の形状にされてもよい。装置は、サセプタから下流に延びる、ほぼ水平の石英出口壁を備え、サセプタから離間され、皿部材の入口縁は、入口壁の下で支持され、皿部材の下流縁は、出口縁の下で支持されてもよい。
さらに他の局面では、本発明は、化学気相成長チャンバの使用方法を提供し、チャンバは、水平に延びるサセプタが基板を受けるように配置され開口の部分を規定する下流縁を有する石英の水平な入口壁を備える。この方法では、入口壁の下流縁の失透及び気相成長を最小化するように、入口壁の下流縁とサセプタとの間に犠牲石英プレートの垂直リップを配置する。サセプタの周りに及びサセプタと入口壁の下流縁との間に延びる温度補償リングが備えられてもよい。犠牲プレートの垂直リップは、温度補償リングと入口壁の下流縁との間のギャップに配置されてもよい。この方法では、入口壁の下流縁の上を上流に延びるフランジを有する垂直リップの上部縁に短く、水平に延びるフランジを備える。
さらに他の好ましい実施形態では、チャンバは、また、上流縁に石英の水平な出口壁を備え、入口壁の下流縁及びチャンバの組合せで、サセプタが配置される開口を規定する。リングは、円形であり、犠牲石英プレートは、リングの外形とほぼ一致するような内径を有する。石英プレートは、開口と一致してそれに望ましく沿うような外形を有し、面取りされた矩形形状を有することが好ましい。この点で、開口の縁は、プロセスチャンバの失透及びヒートサイクルから保護される。犠牲石英プレートは、最小のクリアランスで開口内にほぼ沿うような形状が好ましく、入口壁及び出口壁に備えられる修正されたフィンガー部又は支持部材により支持される。
さらに他の好ましい実施形態に従えば、本発明は、半導体を支持するサセプタを受けるほぼ円形の開口を規定する内部縁及びほぼ矩形の外部縁を有する温度補償リングを備える化学気相成長チャンバに使用される装置を提供する。リングは、面取りされたコーナー部、前縁、後縁、一対の外部側縁を有することが好ましい。前方の外部縁及び内部縁との間の最短距離は、後縁と内部縁との間の最短距離より短いことが好ましい。リングは、グラファイトから作られ、一つ又はそれ以上の温度センサを受けるようにされた内部のほぼ中空部を有することが好ましい。リングは、ほぼ平坦であり、中空部から前方に延びる管形状ではない前縁、及びほぼ平坦で中空部から後方に延びる管形状ではない後縁部分を有する。
さらに他の好ましい実施形態では、本発明は、処理されるウェーハ上の反応ガスの速度を制御するために、噴射機の幅を縦方向に横断して分配された複数の調整部分を有するプロセスチャンバのガス噴射機を備える。噴射機は、一つに実装された流れ制御弁を有する二つの並列に並べられたプレートから形成される。単一のガス入力は、流れ制御弁に共通な充填をもたらし、等圧なガスが各弁機構の上流に供給される。独立に計測される流れが噴射機の出口を形成するスリットのような開口を通って混合される前に、狭い通路が、各弁から噴射機に形成された分離された膨張チャンバへ設けられる。所定のガス速度の反応ガスの帯状の円滑な流れが、チャンバに及びウェーハ上にもたらされる。この帯状のガスの流れは、拡散によってともに混合される分離された流れに十分な距離及び時間をもたらすように、ウェーハの前縁のかなりの距離の上方への流れを形成し、これにより、ウェーハを縦方向に横断するガスの濃度を円滑化する。
他の例では、本発明は、サセプタ及びその上のウェーハを支持する石英プロセスチャンバを提供する。温度補償リングは、サセプタを囲み、サセプタの温度をより均一に維持するようにサセプタと同じ高い熱容量の材料から作られる。加熱ランプの上部及び下部は、サセプタ及びリングを加熱するチャンバの外側に配置される。ランプは、必要とされる放射エネルギーを集中し、システムに使用されるエネルギーを保存するように、リングの外側の大きさと同じ大きさにされることが好ましい。ある実施形態では、リングは、サセプタを近接して囲む大きさにされた円形の内側縁及び内部のチャンバ支持プレートの同形状の開口内にきちんとフィットする大きさにされた面取りされた矩形の外側縁を有する。
【図面の簡単な説明】
図1は、本発明に係るプロセスチャンバの一実施形態を示す斜視図である。
図2は、図1の線2−2に沿った断面図である。
図3は、図1の線3−3に沿って垂直−軸方向平面から見たプロセスチャンバの半分の斜視図である。
図4は、チャンバの平面図である。
図5は、チャンバの入口端部の図である。
図6は、チャンバの出口端部の図である。
図7は、チャンバの側面図である。
図8は、ウェーハ処理システムの一部に結合されたチャンバを表す断面図である。
図9は、サセプタを囲む他のリングと内部チャンバ支持プレートの開口内の平面図である。
図10は、チャンバ支持プレートの開口内に位置する犠牲プレートの平面図である。
図11は、本発明に係るプロセスチャンバの第2の実施形態と結合して使用される処理システム環境を表す断面図である。
図11aは、図11のプロセスチャンバの断面図である。
図12は、拡大した温度補償リング及び犠牲石英プレートを組み込んだプロセスチャンバの第2の実施形態の分解斜視図である。
図12aは、温度補償リングの断面図である。
図13は、図12に示す犠牲石英プレートの平面図である。
図14は、図13の犠牲石英プレートを通る断面図である。
図15は、本発明のチャンバに使用される他の犠牲石英プレートの斜視図である。
図16は、図15の犠牲石英プレートの平面図である。
図17は、図16の犠牲石英プレートを通る断面図である。
図18aは、図11の線18−18に沿う平面図であり、本発明のチャンバに取り付けられる図13の犠牲石英プレートを示す。
図18bは、取り付けられた図15の犠牲石英プレートを示す平面図である。
図18cは、チャンバ支持プレートの開口の上流側コーナーにおける、一対の分離した犠牲石英プレートを示す平面図である。
図19は、図18cに示す分離した犠牲石英プレートの1つの側面図である。
図20は、図19の分離した犠牲石英プレートの底面図である。
図21は、本発明のチャンバに使用される複数のポートを有するガス噴射機の縦断面図である
図21aは、ガス噴射機の一部を詳細に示す断面図であり、処理ガス流チャンバを示す。
図22は、プロセスチャンバから取り外した状態で、内部ガス流通路を表す図21のガス噴射機の背面図である。
図23は、図21の線23−23に沿うガス噴射機のフランジの後ろ半分の正面図である。
図24は、図21の線24−24に沿うガス噴射機の横断面図であり、処理されたガスが拡散する領域を示す。
図25は、図21の線25−25に沿うフランジの後ろ半分を通る縦断面図であり、内部流体冷却通路を示す。
図26は、本発明のガス噴射機に使用されるニードルバルブの拡大された断面図である。
図27は、サセプタを囲み、該サセプタ及び処理されるウェーハの下流側に延びる改良された温度補償リングを組み込んだプロセスチャンバのさらなる実施形態を示す断面図である。
図28aは、図27に示すものと同様のプロセスチャンバを通る概略で示す縦断面図であり、サセプタ及びウェーハに関する下流側の構造を表す。
図28bは、図28aの平面図である。
図29aは、下流側の構造のないプロセスチャンバの概略で示す縦断面図であり、外部ランプからの放射熱流を示す。
図29bは、下流側の構造を付加した図29aと同様の図であり、チャンバ内の放射熱流の変化を示す。
図30aは、処理されるウェーハを横切る典型的な温度分布を示す矢符で示す、下流側構造のないプロセスチャンバの横断面図である。
図30bは、下流側構造を付加した図30aと同様の図であり、処理されるウェーハを横切る温度分布の変化を示す。
図31aは、下流側の構造のないプロセスチャンバの概略で示す横断面図であり、チャンバ内の典型的蒸着領域を示す。
図31bは、下流側の構造を付加した図31aと同様の図であり、蒸着領域の変化を示す。
図32a及び図32bは、各々、下流側構造のないプロセスチャンバの概略で示す横断面図、縦断面図であり、チャンバ内でのポテンシャルガスの再循環を表す。
図33a及び図33bは、下流側の構造を付加した、各々図32a及び図32bと同様の図であり、そこを通るガス流の変化を示す。
図34は、温度補償リングから下流側に構造を有する他のプロセスチャンバの断面図である。
図35は、下流側に延ばされた温度補償リングを有する図34のプロセスチャンバの断面図である。
図36は、流量制御通路を組み込んだプロセスチャンバの上流側の部分を表す断面図である。
図37は、チャンバの入口フランジに向かって見た図36の流量制御通路を通る断面図である。
図38aは、図36のチャンバ及び流量制御通路の平面図である。
図38bから図38dは、図36のチャンバの平面図であり、種々の流量制御通路を示す。
好適な実施形態の詳細な説明
両凸レンズ状プロセスチャンバ
図1から図11に、化学気相成長処理等用の反応容器又は反応チャンバ10の一実施形態を示す。チャンバ10は、断面がほぼ両凸レンズ状の形状を有する長くほぼ平坦な形状を有する。両凸レンズ状の形状は、円形の曲率を有する対向する両凸レンズ表面を有する。前記チャンバは、凸状の外表面及び凹状の内表面を備えた上部壁12と、凸状の外表面及び凹状の内表面を備えた下部壁14とを有する。壁12及び壁14は、垂直方向の短いサイドレール16及び18によって接続されている。これらの壁及びサイドレールはさらに、上流の入口端部フランジ20及び下流の出口端部フランジ22によって結合されている。上流及び下流は、後述のように処理ガスの流れに関係し、前及び後ろという記載と同義である。
チャンバの高さは、チャンバの幅よりも小さい。この点で、チャンバ10の軸方向は、入口端部フランジ20から出口端部フランジ22に、すなわち切断線3−3に沿って延びている。横方向は、短いサイドレール16及び18の間、すなわち切断線2−2に沿って延びている。高さ方向は、軸方向及び横方向の両方に垂直である。図2の断面図に示すように、両凸レンズ状のチャンバ10は、サイドレール16及び18の間に延びる大きい寸法と、上部壁12及び下部壁14の頂点の間に延びる小さい寸法とを有する。
図4によれば、上部壁12及び下部壁14の両方とも、垂直方向の投影が矩形平面となる薄い曲面プレート状の部材である。好適には、壁12及び14は、円形の曲率半径を有し、石英又は同種の材料からなる円筒管から切断した切片により形成され得る。より大きなチャンバには、壁12及び14を、加熱成形した平坦な石英プレートによって構成することができる。変化する半径を有する曲壁には望ましくない応力が生じるため、一定の曲率を備えた円形壁は最適な設計である。好適な一つの実施形態において、上部壁12及び下部壁14は、およそ24インチの曲率半径と、4mmから6mmの間の厚みを有し、より好適には壁の厚みはおよそ5mmである。石英が好適ではあるが、同様の望ましい特性を有する他の材料を代用しても良い。いくつかのこれらの望ましい特性は、高い融点、大きく迅速な温度変化に対する耐久性、化学的不活性及び光に対する高い透明度を含む。
厚いサイドレール16、18は、矩形の横断面を有する石英ロッドから加工され、或いは他の方法で、図2に示す横断面形状に形成され得る。特に、各サイドレール16、18は、上部壁12の外曲面に連続する上面24と、下部壁14の外面に連続するように曲げられた下面26とを有する補強された本体を備える。各サイドレール16、18の外側面28は平坦で縦に延びている。各サイドレール16、18の内面は、上部スタブ壁(upper stub wall segment)32a、中間スタブ壁(middle stub wall segment)32b、下部スタブ壁(lower stub wall segment)32cを形成する軸方向に延びる上部及び下部の窪み30a、30bで形成されている。上部スタブ壁32a及び下部スタブ壁32cは、上部壁12及び下部壁14の側縁に軸方向溶接点39で当接している。一つの実施形態において、サイドレール16、18の本体は、約20mmの幅と約21mmの高さを有する。
チャンバの内部支持部材
本発明によれば、好適にはサイドレール16及び18の間に延びる平坦な矩形のプレート40の形態の支持部材又はストリンガが提供される。図3に示すように、支持プレート40は、チャンバ10の幅を横切って延びる空所又は開口44の輪郭を定め、支持プレートを入口部46a及び出口部46bに分離する開口42を備える。入口部46aは、入口フランジ20から開口44の上流側の縁に延び、出口部46bは、開口44の下流側の縁から出口フランジ22に延びている。図4から分かるように、支持プレートの入口部46aは、出口部46bよりも軸方向に短い。特に、好適な配置において、入口部の長さは、出口部の長さの約70%である。釣り合いのとれた配置は、チャンバ壁の強度特性よりもむしろチャンバを通る処理ガスの流れに関連する。
図2に最もよく示すように、サイドレール16及び18の各々は、実際には支持プレート40の延長部を形成する、内側に延びる中央スタブ壁32bを備えている。この点で、支持プレート40は実際に、サイドレール16、18の本体で、すなわち、言い換えれば、窪み30a、30bの横方向外側領域で終結している。軸方向結合部48は、支持プレート40と各サイドレール16及び18の中央スタブ壁32bとの間の溶接接合を示す。
チャンバ10の重要な面として、中央スタブ壁32bは、上部壁12及び下部壁14を正確に2等分し、これにより支持プレート40は、両者の間の正確な中心線又は中心面に位置する。この所望の配置は、サイドレール16、18の横方向変位によって、プレート40平面内にのみ応力を発生させる。このような変位は、壁12、14が平坦になろうとし外力をそこに及ぼす際の減圧プロセスによって生じる。この振分けを設計することにより、支持プレート40は、著しい曲げ応力又はせん断応力を受けず、より大きな全体に亘る垂直応力に耐え得る。
端部フランジ
図1及び図3によれば、端部フランジ20、22の各々は、外側にほぼ矩形のスラブ50、51を備え、各スラブは、面取りされたコーナー52及び内側に凸レンズ形状の延長部54を有する。図3から分かるように、内側延長部54は、上部壁12、下部壁14及び中央支持プレート40の形状に一致する。特に、軸方向の短い部分がスラブ50から延び、これらプレート状部材の各々と結合している。チャンバ10の各端部において、曲線の溶接接合部56が、曲面上部壁12、曲面下部壁14及び延長部54の上部、下部の間に形成されている。直線の接合線58は、延長部54の中央部及び支持プレート40の軸方向端部の間に形成されている。入口フランジ20のスラブ50は、チャンバ10内の支持プレート40の上方で上部壁12の下方の領域66に通じる上部に横方向に延びる開口60を備える。対照的に、出口フランジ22のスラブ51は、横方向に延びる一対の開口62及び64を備える。上部開口62は、前述のチャンバ10の上部領域66に通じる。下部開口64は、支持プレート40の下方で下部壁14の上方のチャンバ10の下部領域68に通じる。サイドレール16、18内の丸い窪み30a、30bは、上部領域66、下部領域68の横方向の境界の輪郭を定める。以下に述べるように、ウェーハ処理は、上部領域66のみでなされ、支持プレート40は、処理領域の下限を定める。
支持プレートの開口
図8に示すように、開口44は、サセプタ及び該サセプタを囲む温度補償リング72を収容するように寸法決めされている。サセプタ70は、静止リング72内で回転するように適合されており、好適には、約0.5mmから1.0mmの小さな環状のギャップを横切って前記リングから距離を隔てて配置される。リング72の中心線は、図4に破線で示す円74により示されている。リング72を囲む支持プレート40内の開口42の形状は、開口44の縁が前記リングに極めて近接するように円形とすることもできる。しかしながら、図4に示すように、円形のコーナーを有する矩形の開口42が幾分好適であることが分かった。支持プレートの入口部46a、出口部46bは、正確な形状を有するように切断されてもよい。或いは、加工の都合上、図4に示すように、短く幾分三角形のすみ肉部76を、所望の形状を得るように、プレート部材及びチャンバサイドレール16、18に溶接してもよい。
使用の間、チャンバ10の内部と外部雰囲気との間の圧力差は、上部壁12、下部壁14及びサイドレール16、18の両方の中に応力を生じさせる。サイドレール16、18の内側及び外側に向かう横方向の動作は、中央支持プレート40へのそれらの固定によって制限される。上述した真空処理において、壁12、14は、平坦になろうとすることにより、ほぼ外側に向かう力をサイドレール16、18へ与える。支持プレート40は、張力下に置かれ、サイドレール16、18の外側への変位を制限する。しかしながら、入口部46a、出口部46bの間には、サイドレール16、18に対する支持はなく、この領域に沿って、サイドレール内に応力を生じさせる幾分かの変位が可能である。有限要素解析により、面取りされた矩形の開口42が完全に円形の開口よりも好適であることが示された。これは、図示されたプレートの最大応力は開口の軸方向に沿って拡散するが、円形の開口では、最大応力点がプレートの中心線に沿った点となるからである。他の方法では、矩形開口42は、サイドレール12、14の間に横方向に延びる2つの対向する部分を境界とし、円形開口の2つの対向点で対向するように前記部分に亘って最大応力が分散する。
図4に示す円74は、チャンバの上流側及び下流側の縁に対し、又は開口44に対し、中心に位置していないことに注意する必要がある。或いは、出口プレート部46bの上流側の縁に対する円74の下流側の縁又は後縁の位置よりも、入口プレート部46aの下流側の縁に対する円74の上流側の縁又は前縁の位置の方が近い。この配置は、出口プレート部46bの上流側の縁の失透(devitrification)の速度を落とすことにより、チャンバの強度を維持するのに役立つ。すなわち、チャンバ壁の温度がサセプタからちょうど下流に向かって最も高くなるように、ガス流はサセプタを通り越す際に熱くなる。したがって、上流側の縁は、サセプタに極めて近い場合、著しい熱サイクル及び失透に晒され得る。そこで、サセプタは、前記上流側の縁との間隔を増すように開口44内で前方にずらされている。いくつかの形態の内、このずらされた配置もまた、チャンバを通る処理ガスの流れに影響する。特に、リングによって囲まれたサセプタ上に置かれたウェーハは、該ウェーハの上流側の開口44を通る反応ガスの量を最小にするべく、入口プレート部46aの下流側の縁に近接して置かれている。これは、サセプタの下のチャンバ10の下部領域68内に堆積し得る反応ガスの量を最小にする。
サセプタ及び関連する構造
図8から分かるように、温度補償リング72は、支持プレート部材に溶接された垂直方向に延びる部分を有する、肘のような形状の3つの支持部材によって支持されている。特に、前支持部材又はフィンガー80は、チャンバのサイドレール16、18の中間で、前のプレート部材の後方に溶接されている。前支持部材の水平部分は、温度補償リング72の前縁の下方に位置するように、開口44内に後方に向かって延びている。距離を隔てた一対の部材又はフィンガー82は、図8及び図2から図7に示すように、補償リング72の後縁の下方で前方に延びる長い水平部分を有する。このように、補償リング72は、フィンガー80及び82の直立ピン(図示せず)によって3点で水平に支持されている。結局、ピンは、繰り返される熱サイクルと処理ガス及びエッチングガスへの露呈により劣化するが、かなり迅速に取り替えることができる。
サセプタ84は、回転可能なシャフト90の上端部に接続された適切な支持部材88のアーム86に支持された状態で示されている。前記シャフトは、チャンバの底部壁から延びる管92を通って延びている。サセプタ84は、リング72の上縁及び支持プレート40の上面とほぼ水平な状態で示されている。これは、ウェーハが、サセプタ84の上方でプロセスチャンバ10の上部領域66内に位置決めされることを可能にする。
さらに、図8によれば、入口フランジ20は、入口コンポーネント94に結合するようになっている。前記コンポーネントは、ウェーハが通って挿入される水平方向に延長されたスロット96を有し、スロット96からウェーハハンドリングチャンバ(図示せず)に通じるアイソレーションバルブが閉じられた後処理ガスをチャンバの上部領域66に導入する長い入口98を有する。同様に、出口フランジ22は、チャンバ10から処理ガスを排気しチャンバを真空にするための出口コンポーネント100に当接するようになっている。図8から分かるように、出口フランジ22は、支持プレートの上方の領域66と同様に、支持プレートの下のチャンバの下部領域68に開口している。
複数の熱電対102が出口コンポーネント100を通ってプロセスチャンバ10の下部領域68内に延びている。熱電対102は、サセプタ84及びその上に位置するウェーハを囲む局所温度を検知するため、サセプタ84の近辺に延びている。米国特許第4821674号ですでに記載したように、サセプタ84を囲む熱電対102の先端検知部の有効な位置決めにより、ウェーハ温度に関する包括的フィードバックが可能となる。さらに、温度の不均一性を補償するために、チャンバ10を囲む放射熱ランプの調整を可能にする。特に、前縁の熱電対104はサセプタ84の前縁に近接して終結し、後縁の熱電対106はサセプタの後縁に近接して終結し、側縁の熱電対(図示せず)はサセプタの側縁に近接して終結している。熱電対102の各々は、内部に窪みを与える2つの部材から形成される温度補償リング72に入っている。このリングは、参考のために特に参照する米国特許第4821674号にすでに記載されている。温度補償リング72は、熱電対102を受けるためのリングを通る環状通路の境界を共にして定める、ほぼL字形の内部本体及び外部本体を備える。
好適には、温度補償リング72は、黒鉛又は他の高い熱吸収材料から構成される。リング72は、処理環境におけるいくつかの利点を与え、主としてサセプタ84の縁からの熱損失を削減する。特に、リング72は、サセプタ84の縁を近接して囲み、材料が同様の温度であるように、処理中同様の温度に維持される。このように、サセプタ及びリングは互いに熱を放射し、両者の間の熱損失を効果的に相殺する。温度補償リング72の他の利点は、ウェーハの領域における反応ガスの予熱及び後熱である。特に、反応ガスは、無反応の雰囲気温度でチャンバに入り、サセプタ及びウェーハの上を通る際に蒸着に適した温度に加熱される。このように、周囲温度補償リング72は、サセプタの前縁、続いてウェーハの前縁に到達する前に反応ガス流を予熱する。このようにして、処理ガスは、ウェーハの縁の上を越える前に、ほぼ定常状態の温度に到達する。さらに、温度補償リング72が下流側の加熱領域を拡げるため、ウェーハの下流側の縁を通過した後、ガスの温度はあまり落ちることがない。温度補償リング72のさらなる利点を、改良したリングを参照して以下に述べる。
チャンバを通るガス流を図8に示す。反応ガスは、参考のために特に参照する米国特許第5221556号に記載されている分布のような、予め決められた横方向の速度分布を伴って入口コンポーネント94に入る。予め決められた速度分布は、反応チャンバ10の横方向の外縁よりも中央部に向けてより大きなガス流を与え、サセプタ84で支持される円形ウェーハの中心を越える長い蒸着路(deposition travel path)を補償する。言い換えれば、ウェーハの上方の流路に沿った反応消耗(reactant depletion)のため、ウェーハ中央部の上方に、より多くの量の反応ガスが必要とされる。さらに、図2に最もよく示すように、チャンバ10の横断面形状は、あまり反応ガス流が必要とされない側縁よりも、より多くの反応ガス流が必要とされるチャンバの中心において、より多くのガス流を収容する。
反応ガスは、矢符112で示すように軸方向後方に流れ、出口コンポーネント100を通り、矢符116で示すように排気導管114を通って下方に出る。典型的には、パージガスがシャフト90を囲む中空管92を通って上方に供給される。前記中空管は、シャフトを囲むガス通路を与えるように寸法決めされている。矢符118によって示すように、パージガスは、チャンバ10の下部領域68に入る。パージガスは、サセプタ84の下に不必要な粒子が蒸着するのを防ぎ、矢符120で示すように、出口フランジ22内の軸方向の下部開口64を通って出る。次に、パージガスは、使用済みの反応ガスと混合し、排気導管114を通り矢符116の通路に沿って下方に流れる。
端部フランジ20、22は、好適には、半透明であり、分散された窒素の気泡を有する石英から造られる。一方、中央の薄い壁12、14及び支持プレート40は、放射エネルギーに対して透明であり、チャンバ10内のサセプタ及びウェーハの放射加熱を、これらの構造内を高温にすることなく可能にする。半透明のフランジ20、22は、そこを通る「光の伝搬(light-piping)」を減少させるべく放射エネルギーを散乱させる。これは、フランジ20、22の外側のOリング122を、チャンバ10内で生成する極度の高熱に晒されることから保護する。好適には、下部壁14の下の管92の部分は、そこに分散された窒素の気泡により同様に半透明である。
以上に記載したように、開示したチャンバの1つの利点は、比較的薄い石英のチャンバ壁により、減圧された内圧に耐え得るということである。例えば、直径8インチのウェーハ(約200mm)を収容するように設計されたチャンバにおいて、上部壁12及び下部壁14は、約5mmの壁厚しか必要とせず、支持プレートの厚みは、約10mmである。端部フランジ間のチャンバの長さは約600mm、チャンバの幅は約325mm、端部フランジの高さは約115mmである。チャンバの寸法は、より大きな寸法のウェーハ用に改良され得ることは自明である。例えば、本発明に係るチャンバは、200mm、300mm及びそれより大きな径を有する処理ウェーハに適している。好適には、対応する横断面寸法を同一にしたまま、300mmのウェーハを収容するより広いチャンバは、より大きな高さを有する。300mmウェーハ用チャンバの増加した高さにより、サセプタ及びウェーハを加熱するべくチャンバの周りに配置される放射熱ランプのような他のサブシステムに一定の改良が必要となる。要するに、直径200mm及び300mmのウェーハを処理するための周囲環境は、ある面で異なる必要があるが、これらの差異は、プロセスチャンバの構造及び操作の技術分野における当業者の技術の範疇にある。
特定の寸法は、もちろん、単に例示されたものであり、種々の形状及び寸法を、側壁間すなわちチャンバの縦−横断面のより長い寸法を横切って延びる支持プレートに利用することができる。一般化し得る1つのことは、チャンバの全幅は、好適にはチャンバの高さの約3倍であるということである。これは、上部領域66が、横方向の中心線において、およそ6の幅と高さの比を有することを意味する。上述のように本実施形態において、壁12及び14は、609.6ミリメートルの一定の曲率半径を有する。幅と高さの比が大きく増加する場合、上部壁12及び下部壁14は平坦になり、内部を真空にする際、破壊せずに曲げ応力に耐えることがほとんどできないということに注意する必要がある。一方、前記比が大きく減少する場合、壁12及び14の曲率は、より大きくなる。したがって、熱ランプをウェーハ及びサセプタ84から遠ざけて配置し、ウェーハ周りの熱分布の制御を減らす必要がある。支持プレート40が受ける引張り応力は、幅と高さの比が増加しチャンバが平坦になるにつれて増加する。一定のチャンバの真空度において、支持プレート40が受ける引張り応力は、チャンバの幅と高さの比の増加よりも大きな比率で増加する。すなわち、例えば、上部領域66において横方向の中心線における幅と高さの比が2:1である円筒チャンバ形状が、2倍の4:1の比になれば、支持プレート40に生じる応力は2倍以上に増加する。支持プレート40により吸収される引張り応力は、曲面チャンバ壁12及び14に伝わるであろう内側に向かう曲げ応力を緩和する。このように、比較的低いチャンバの縦断面により低圧処理を可能とする最適なチャンバの幅及び高さの比が決定される。
チャンバ10は、矩形断面のチャンバを利用し、さらに低く広い形状の有用性を増加させた現存のシステムに好適に改造することができる。チャンバの形状は、ウェーハを一方の端部から挿入することを可能にし、チャンバの他方の端部から交換用サセプタ及びリングを挿入することを可能にする。このような配置は、チャンバ内で処理されるウェーハとほぼ一直線上に並べられた内部支持プレートの上方にガスが流れる状態で、ガス流がチャンバを通って端から端に導かれることも好都合に可能にする。
図9に示す他の実施形態において、改良した温度補償リング72’は、支持プレート40における面取りされた矩形の開口42とよく一致するように、外側に設けられている。このように、リング72’は、チャンバ10の上部領域66及び下部領域68を互いに実質的にシールする。この形態において、上部領域66内の反応ガスは、リング72’及び開口42の間に形成されるギャップを通ることができず、ウェーハ上の均一な流れを乱すことがない。
図10に示すさらに他の実施形態において、円形リング72を囲む石英の犠牲(sacrificial)プレート124が設けられている。犠牲プレート124は、リング72の外径とよく一致する内径と、面取りされた矩形開口42と一致し望ましくは接する外形とを有する。この形態において、開口42の縁は、反応チャンバ10の繰り返される加熱による失透から保護される。これは、犠牲プレート124が繰り返される熱サイクルにより失透する際に、より高価で恒久的に設置された支持プレートを維持した状態で犠牲プレート124を取り替えることを可能にする。実際、プレート124は、隙間が最小の状態で開口42内に嵌合するように形状決めされているが、プレートを開口内に嵌合させ得る製造公差により、接触した状態での嵌合は不可能である。プレート124は、好適には、改良されたフィンガー80、82によって、又は、支持プレート40に取り付けた分離した支持部材(図示せず)によって支持される。
プロセスチャンバの組立て手順
本プロセスチャンバのさらなる改良について記載する前に、石英チャンバの組立てについて説明する。反応チャンバ10は、正確な寸法を保証し、構成部品内の内部応力を最小化するように、好適な順序で製造される。特に、反応チャンバ10は、初めに、2つのサイドレール16及び18を端部フランジ20及び22に溶接することにより組み立てられる。図3に関して既に述べたように、フランジ20及び22の各々は、サイドレール16及び18の形状に一致する内側に向けられた延長部54を有する。サイドレール及び端部フランジを結合した後、内部応力を削減するため高温で組み立てた物を焼きなます。次に、サイドレール16、18の中央スタブプレート32b及び端部フランジ20、22の内側の中央延長部によって形成される矩形の型内に中央支持プレート40を溶接する。支持プレート40を溶接した後、内部応力を削減するため、組み立てた物全体を再び焼きなます。次に、支持部材80及び82を支持プレート40の下部の適切な位置に溶接する。面取りした矩形の開口42の境界を定める代わりに、コーナーのすみ肉部76を溶接する。次に、チャンバ10内の鋭利な縁の全てを研磨して滑らかな丸い縁にする。このときに、上部壁12及び下部壁14をサイドレール16及び18並びに端部フランジ20及び22の両方に溶接する。内部応力を削減するため、組み立てられた物を再び焼きなます。次に、下部壁14に形成される円形の開口と同軸である適当な位置に管92を溶接する。
次に、組み立てられた物を、石英の表面をわずかに溶かし研磨及び溶接により形成された表面を滑らかにするため、高温で燃焼研磨(fire polish)する。燃焼研磨は、チャンバ10の形成における本質的工程であり、後の組立て工程及び使用のためにチャンバを強化する。最後に、残留内部応力を削減するため、組み立てた物全体を焼きなます。石英チャンバの組立ては、複雑で繊細な操作であり、技術であると考えられることが当業者には理解されるであろう。このように、上述した手順は、1つの特定の組立て方法を明らかにすることを意図したものであり、他の方法は以下のようになし得る。
局所的な溶接によって激しい内部応力が生じ得る。応力を最小化するため、チャンバ全体を高温の加熱炉に好適に挿入し、その中で組み立てる。手順は以下のようである。プロセスチャンバの部材を、作業者がチャンバコンポーネントに手を伸ばし操作し得る大きな戸口を有する大きな加熱炉内に置く。前記加熱炉は初めにシールされ、高温、好適には約900℃に加熱される。いったん特定の温度に達し、その中の全ての石英コンポーネントが前記温度になれば、溶接者が近づくことができるように大きな戸口が開く。戸口を通る放射熱を削減するため、多くの熱遮蔽物又は熱調節装置が、熱い石英片と戸口との間のチャンバの周りに置かれている。前記遮蔽物は、溶接者がチャンバの溶接すべき局所部分に近づくことができるように配置され、前記領域を囲む熱い石英コンポーネントからの放射熱を最小化する。溶接者は、重たい溶接用グローブ、反射スーツ及びヘルメットで適切に身を包む。加熱炉への戸口が開いた後、内部温度は、およそ500℃から700℃に低下する。開いた戸口を通じての熱損失を補充するために、いくらかの補足的な局所加熱を必要とする。この補足的加熱は、例えば、収束型又は発散型ブロートーチにより与えられる。次に、加熱炉の戸口が閉じ、非常に多くの熱が損失した場合には周期的にチャンバ部品を再加熱する状態で、前述の溶接手順が加熱炉内でなされる。この手順は、石英片中の大きな温度勾配を避けることにより、石英片内の内部応力を極めて削減する。
処理システム
図11は、非常に均一な化学気相成長を生成するべく改良された反応チャンバ130を囲むコンポーネントの特に効果的な配置を示す。改良されたチャンバ130の特徴を述べる前に、処理環境について述べる。チャンバ130は、前述した内部支持プレート40に近似する内部支持プレート132を備え、その中に形成され、半導体ウェーハを支持するサセプタを受けるように寸法決めされた開口133を備える。支持プレート132は、開口133の上流側の135a及び開口133の下流側の後方部135bに分離されている。サセプタ134は、中空のシャフト140に取り付けられた中央ハブ138の径方向に延びた複数のアーム136上に位置している。シャフト140は、チャンバ130の下方に配置されたモータ142により回転する。モータ142及びシャフト140間の回転カップリングは、参考のために先に参照した米国特許第4821674号に明確に記載されている。モータ142は、固定フレームに好適に取り付けられ、チャンバ130内においてサセプタ134を位置決めする調整機構を備える。
図11aに、ウェーハ144がサセプタ134に載っている状態を示す。サセプタ134及びウェーハ144を加熱するため、複数の放射熱ランプが、反応チャンバ130の周りに配置されている。第1列の上部ランプ146は、チャンバ130に対し軸方向に延びている。第2列の下部ランプ148は、チャンバ130に対し横方向に延びている。規則正しい一続きのランプがチャンバ130の横方向の範囲を横切って設けられるように、上部列のランプ146の分布は滑らかである。一方、下部列のランプ140は、シャフト140の両側に設けられ、シャフトを囲む領域で不連続である。1つ又はそれより多くのスポットライト又は指向性ランプ150が、チャンバ130の下に位置し、チャンバ130と一体成形された下方に延びる石英管152を囲んでいる。管152は、同心でシャフト140を受ける。管152及びシャフト140は、それらの間に、サセプタ134の下部領域にパージガスを噴射するのに使用される環状のスペースを生成する。パージガスの流れを、図11aに矢符154で示す。指向性ランプ150は、サセプタ134の下部にエネルギーを放射するが、シャフト152及び支持構造により遮られている。特定の加熱配置は、参考のために特に参照する米国特許第4836138号に記載され図示されているものと同様である。
上部列ランプ146及び下部列ランプ148は、各々サセプタ領域134の上方、下方にほぼ矩形の配置で分布している。指向性ランプ150と組み合わさったこの配置は、放射エネルギーをサセプタ134及び関連するウェーハ144に収束させる。さらに、上部列146、下部列148の垂直方向は、サセプタ134の加熱の均一性をさらに高める。拡大された温度補償リング155を図11及び11aに示し、その特殊な構造を以下により詳細に記載する。しかしながら、改良された温度補償リング155の周囲形状は、ほぼ矩形であり、上部列ランプ146及び下部列ランプ148からの放射熱の投射コラム(projected column)と一致する。この配置は、極めて有効であり、サセプタ134を横切るより均一な温度をもたらす。
ガス噴射機156は、プロセスチャンバ130の上流側に位置し、多数のポートを通ってチャンバに入る反応ガスを測定する、複数の反応ガス流量ニードル弁158を備えている。反応ガスは、噴射機156を通って測定された後、入口開口160を通過し、プロセスチャンバ130の上部領域162に通じる。反応ガスの流れを図11aに矢符164で示す。ガスは、内部支持プレート132の上方で、サセプタ134及びウェーハ144を横切って流れ、プロセスチャンバ130の出口開口166を通って出る。排気路を矢符168で示す。前述した上方へのパージ流154に沿って、軸方向のパージ流170がガス噴射機156により与えられる。特に、以下により詳細に述べるように、ガス噴射機は、パージ入口開口174を通してプロセスチャンバ130の下部領域172に通じる内部通路を備えている。パージガス流170は、下部領域172に入り、サセプタ134及び周囲構造の下部を通過し、矢符178で示すように、パージ出口開口176を通って下部領域から出る。
図11は、使用済みの反応ガス流168及び排気パージガス流178の両方を受ける、改良された排気装置180を示す。特に、共通の高圧チャンバ182は、前述のガス流を受け、排気マニホールド186に連通する傾いた排気導管184に導く。排気マニホールド186は、適切な真空源に取り付けられている。高圧チャンバ182は、ほぼ矩形のフランジ部材188により境界を定められている。フランジ部材188は、管190を通じて冷却流体が内部通路に供給されることにより、好適に水冷されている。フランジ部材188の内部冷却は、該フランジ部材とプロセスチャンバ130の間のエラストマーシールの変質を防ぐのに役立つ。
改良された温度補償リング
図11、図11a及び図12は、サセプタ134を囲む前述の改良された温度補償リング155を示す。このリング155は、図9に関して先に記載したリング72’と多くの点で近似する。すなわち、リング155は、チャンバ130の支持プレート132に形成された面取りした矩形の開口194によく一致するように外側に設けられているが、石英支持プレート132の劣化を避けるためそこから距離を隔てて配置されている。1つの特定の実施形態において、図11aに示すように、改良された温度補償リング155は、下部の環状U字形通路部材196及び上部平面部材198を備える。上部部材198は、一体成形され下方に延びる1つ又はそれより多くの芯出しリング199を使用することにより、通路部材196の上方で芯出しされた開口を備える。前記リングは、円形パターン上に距離を隔てて設置された小さな突起とすることもできる。下部部材196は、前述のようにチャンバ130の下流側端部からパージ出口開口176を通り下部領域172に延びる複数の長い熱電対と、リング155とを支持する。リング155は、その下流側端部に熱電対を受けるための開口を備える。
好適には、温度補償リング155によって支持される3つの熱電対があり、その全てが、下流側端部からパージ出口開口176を通ってチャンバに入っている。前記3つの熱電対は、支持プレート132の下部のチャンバ内に平行に前進して延び、横方向に距離を隔てて配置されている。図11aに示す真ん中の熱電対199は、ちょうど支持プレート132の下部に延び、サセプタ134の下流側で終結している。第2の熱電対200は、リング155に入り、通路部材196によって境界を定められた円形の通路に巻かれ、図11aに示すように前縁位置で終結している。第3の熱電対(図示せず)も、リング155に入り、通路部材196に第2の熱電対200と反対方向に途中まで巻かれ、サセプタ134の前縁及び後縁の中間位置で終結する。このように、3つの熱電対の組合せは、サセプタ134の前縁、後縁及び一方の側縁での温度を検知する。
熱電対が通路部材196に入ることを可能にするため、該部材の後端部は、延びたL字形の部分202により形成されている。この部分は、通路の内部に熱電対を挿入することを可能にするため、外部壁が欠けている。図示しないが、前記L字形の部分は、入ってくる3つの熱電対を受けるために十分な長さの弧を描いて延びてもよい。或いは、各熱電対が通路部材196中に入る独立した部分を備えてもよい。
上部平面部材198は、通路部材196の内部壁とほぼ同じ直径に寸法決めされ、サセプタ134の外周縁とよく一致するが距離を隔てた内縁を有する。平面部材198の外縁は、通路部材196の外壁から外側に延び、面取りされた矩形の開口133とよく一致する。リング155は、サセプタ134及びウェーハ144を横切る温度の均一性を保証するのに役立つ大きな熱容量を有する黒鉛から好適に構成されている。しかしながら、他の実施形態において、リング155は、より低い熱容量のものから、或いは、複数列のランプ146、148及び150からの直接の放射熱がサセプタ134の縁に当たるよう石英から構成してもよい。
図11aを参照すれば、上流側のギャップ204は、リング155と支持プレート132の上流側部分135aとの間にある。同様に、下流側のギャップ206は、リング155の下流側の縁と支持プレート132の下流側部分135bとの間に形成されている。上流側のギャップ204は、下流側のギャップ206よりもわずかに小さい寸法とされている。サセプタ134及びウェーハ144を横切って通る処理ガスは、チャンバ130の入口端部に向かって相対的に冷たい。処理ガスが熱いリング155及びサセプタ134の上を通る際に、ウェーハの後縁で最高温度まで熱くなる。このように、リング155は、プロセスチャンバの環境内において、その上流側の縁とは反対にその下流側の縁でより高い温度になる。石英は、比較的壊れやすく、繰り返される熱サイクルにより劣化する。したがって、その位置におけるリング155による石英の過度の加熱を防止するため、リング155の下流側の縁におけるギャップ206は、わずかに大きくされている。1つの実施形態において、上流側のギャップ204は、約4mmであり、下流側のギャップ206は、約5mmである。
犠牲石英プレート
図11のプロセスチャンバ130は、更に、開口部133の上流端を保護するために犠牲石英プレート210を有している。図12〜14に示すように、犠牲石英プレート210は、プレート状の水平部分212と垂直な湾曲リップ214とを備えている。この水平部分212は、更に大きな中央孔216を有し、該孔は、駆動シャフト140及びハブ138を受け入れることのできる大きさとされている。この水平部分212は、サセプタ134の下方近傍に延び、中央支持プレート132の下部から延びる3本のフィンガー218によって支持されている。このフィンガー218は、第1のチャンバの実施形態に関連して前に記述した支持フィンガー80及び82とよく似ている。より詳細には、前方のフィンガー218aは、中央支持プレート132の上流側部位135aの横幅の中央で交差して配置されている。一対の下流側フィンガー218bは、その下流側部位135bにおいて前記支持プレートの中心から横方向に離隔している。これらのフィンガーの近似的配置は、図12の分解図によく表れている。
犠牲石英プレート210の水平部分212は、薄肉とされ矩形領域221を有し、該領域に通孔222が形成された2つの後方延設部220を有している。前記延設部220の前記薄肉領域は、図11aに示すように、下流側のフィンガー218b上にぴったりと嵌まる寸法とされている。通孔222は、支持ピン224を受け入れることのできる大きさとされ、該ピンもまた、フィンガー218b上に支持される。ピン224は、通孔222を通って延び、温度補償リング155を支持するのに用いられる。また、支持ピン227を受けるために、薄肉領域230及び前方の通孔226が水平部分212に形成されている。前方のフィンガー218aは、薄肉領域230にぴったりと嵌まり、犠牲石英プレート210の前端部を支持するとともに、ピン227を介してリング155の前端部を支持する。薄肉領域221及び230、及びより詳しくは、それらによって形成される両側壁が、通孔222及び226及びピン224及び227と組合わさって、フィンガー218a,bに対してプレート210を位置決めする。
石英プレート210は、一般的に、一定の横幅を有し、該幅は、前端部において、より幅広の部位228に広がる。この幅広の部位228は、開口部133の形状に合致する湾曲した前部コーナーを有している。垂直リップ214は、前方の部位228の湾曲された縁部から開口部133の湾曲された矩形縁部の近くへ、上方へ向いて延びている。これは図11aによく示されている。この垂直リップ214は、こうして、開口部133において支持プレート132の内側縁部と密接に合致し、この位置において石英を失透から保護する。好ましくは、垂直リップ214は、開口部133の石英と接する。
犠牲石英プレート210の形状は、図13及び14に平面図及び断面図で各々示されている。プレート210の厚みは、中央部で厚く、フィンガー218a,bを受け入れ保持する前述の領域221及び230において薄くなっていることに気づくだろう。更に、垂直リップ214は、プレート210の水平部分よりも著しく薄い。ある特定の実施形態においては、200ミリメートルの直径を持つウェーハの処理のための反応チャンバ内で使用するためには、犠牲石英プレート210は、長手方向にほぼ284.5ミリメートル以上の寸法を有している。プレート210の幅は、ほぼ189.23ミリメートルであり、部位228において外側に広がる縁部は、側縁と30°の角度をなしている。
前方の部位228の幅は、約258.06ミリメートルである。開口部133の内側縁と密接に合致する前方の縁部の曲面は、ほぼ半径57.15ミリメートルである。前記プレートは、その断面のほとんどが5.08ミリメートルであるが、フィンガー218を受ける部位は、約1.52ミリメートルの厚みを持っている。前記垂直リップは、25.15ミリメートルの高さを有しているが、その厚みは、約1.02ミリメートルである。
図15〜17は、前述した石英プレート210とほとんど同様の関係にある。しかしながら、これに対して、石英プレート240は、垂直リップ214の上縁部の形成された水平延設部242を有している。この水平延設部242は、リップ214から前方へ延び、中央支持プレートの上表面に載るようになっている。これは、図11aに示したように、開口部133と極く密接に上方へ延び、水平延設部242は、前記開口部の上部コーナーを超えて延びる。この配置は、更に中央支持プレート132を失透から保護する。その他は、この変更態様の石英プレート240は、前述の石英プレート240と同様であり、サセプタ134に下部近傍でこれに水平に、フィンガー218a,bによって支持される。
図18a及び18bは、サセプタ14を囲む犠牲石英プレートが示されている。より詳細には、図18aに示されているように、犠牲石英プレート210は、中央支持プレート132の前方部位135aの下流側縁部に垂直リップ214を見ることができる状態で、サセプタ134の下部近傍に隠れ線で示されている。垂直リップ214は、開口部133の両側の回りに前述したサイドレール18まで横方向に延びていることが分かるだろう。他方、図18bは、開口部133の縁部から前方へ延びる水平延設部242を示している。さらに、犠牲石英プレート240は、サセプタ134の近傍下部でこれに水平に配置されている。
他の実施形態では、図18c,19及び20に示されているように、一対に分離した犠牲石英プレート250a及び250が、中央支持プレート132に載置され得る。分離したプレート250は、図19に平面図で示され、上流側端部に一対の面取りされた縁部252を有すると共に、曲線状下流側縁部254を持つ略矩形形状をしている。図20に示されているように、垂直リップ256は、曲線状縁部254から下方へ突出している。曲線状縁部254は、丸みを持たされた矩形開口部133の曲面コーナーと密接に嵌合する寸法とされている。これにより、図18cに示されているように、分離した犠牲石英プレート250a,bは、開口部133の丸みを持ったコーナに配置される。下流側に延びるリップ256は、こうして開口部133のコーナーを失透から保護している。開口部133のコーナーは、真空引き処理の間、最大の応力に晒され、これによって、該コーナーは、繰り返し熱サイクルから最も損傷を受け得る。従って、分離プレート250a,bを備えることで、これらコーナーを保護し、比較的容易に交換することができる。このプレート250a,bは、他の固定手段を用いずに、単に中央支持プレート132上に置かれているだけである。勿論、この分離したプレート250a,bを連結した単一の犠牲石英プレートが想定され、これは、中央支持プレート132の天部に直接置かれ得る。
ガス噴射機
図21は、図11に関連して既述したガス噴射機156を示している。このガス噴射機156は、石英チャンバ130の上流側縁部に接する略垂直な2部位フランジメンバーから成る。より詳細には、ガス噴射機156は、ウェーハハンドリングチャンバ260(仮想線で示した。)とプロセスチャンバ130との間に挟まれている。ガス噴射機156とチャンバ130との間の密接な接触を確保するために、当業者にとって周知の手段によって、適切な空気圧が付与される。
ウェーハハンドリングチャンバ260は、ガス噴射機256によって区画されたウェーハ又はガスの水平導入スロット264に導かれるテーパー状のウェーハ排出ポート262を有している。ウェーハハンドラー(図示せず)は、排出ポート262及び導入スロット264を通じ、ハンドリングチャンバ260及びプロセスチャンバ130の方へ及びそこからウェーハを搬送する。1実施形態においては、ウェーハハンドラーが、低姿勢のベルヌーイ型ピックアップ棒を備え、これは前記ウェーハを下側に搬送する導入スロット264にぴったりと嵌まっている。勿論、他の低姿勢ピックアップ棒を使用することができる。これに関し、導入スロット264はほぼ19.05ミリメートルの高さを有し、ガス噴射機156を介して約39.62ミリメートルの長さを持っている。図22の背面図を参照すると、導入スロット264は、ガス噴射機156の幅の殆ど部分に亘って横方向に延び、200ミリメートルの直径を持つウェーハが通過できるように約228.6ミリメートルの幅であることが望ましい。ここの述べられた寸法が、300ミリメートルの直径のウェーハのようにより大きなサイズのウェーハに適応するために変更され得ることは、当業者であれば分かるだろう。
米国特許第4828224号明細書に参照され記載されているように、好ましくは、排出ポート262を開閉する相対移動のために、ゲートバルブがハンドリングチャンバ内に載置されている。ある一つの特定の有用な形態において、前記ゲートバルブは、回転本体を含み、該本体は、そこを通るウェーハ通路を有し、該通路は、前記バルブが閉じられた時に、排出ポート262と一直線上にある。ハンドリングチャンバ260及びプロセスチャンバ130の環境を互いから切り離すために、ゲートバルブの一つの固定表面は、ガス噴射機156の前面に対してシールするO−リングを有している。
ガス噴射機156は、前部フランジ分割体266と後部フランジ分割体268とから形成されている。前部及び後部フランジ分割体266,268は、一般に垂直に置かれたプレート状部材であって、互いに対向するよに配列されている。よる詳しくは、前部フランジ分割体266の前面は、ウェーハハンドリングチャンバ260に対向させられ、前記分割体の後面は、後部フランジ分割体268に接している。更に、後部フランジ分割体268の後面は、プロセスチャンバ130と対向している。これら各要素間には、ウェーハハンドリング領域からのガス漏れまたは該領域へのガスの混入を防ぐため、O−リングシールが設けられている。一般的な長円形をしたチャンバO−リング270は、図22に最もよく示されており、ガス噴射機156とチャンバ130との間にも取付けられている。より詳しくは、後部フランジ分割体268は、チャンバO−リング270を受ける連続溝272(図25)を、導入スロット264を囲むその後面に有している。チャンバO−リング270は、プロセスチャンバ130の平な前面に接し、上方又は下方部位162,172の各々に繋がる導入通孔160,174を囲んでいる。中間O−リング274は、前部フランジ分割体266の後面にある溝には位置されており、前部フランジ分割体266と後部フランジ分割体268との間の接合点で導入スロット264をシールする。最後に、前記ハンドリングチャンバO−リングは、前部フランジ分割体266の前面にある溝に配置され、ガス噴射機156とウェーハハンドリングチャンバ260との間の境界で導入スロット264をシールする。
図22及び図23に示されているように、複数のニードル弁158が、後部フランジ分割体268の上縁を横切って配設されている。好ましくは、後部フランジ分割体に268に等間隔で、中心に配置された5本のニードル弁158である。ニードル弁158の各々は、上方開口の段階状空洞部282(図26)内に固定された管状カートリッジ280と瓦斯噴射機156を通過するガス流の調節のためのツマミねじ284を備える。これに関し、処理ガスは、後部フランジ分割体268の1側縁にある導入管286を通って入り、ガス噴射機156を通って分配され、導入スロット264を通って最終的にプロセスチャンバ130に入る。
処理ガスは、導入管286で入り、5つの調整ツマミねじ284の下部にある後部フランジ分割体268を横方向に横切って延びる水平吸気路(a horizontal plenum)288を通って流れる。図26によく表れているように、各カートリッジ280は、空洞282の上部ねじ孔290内に固定されており、該空洞はまた、径を小さくされた弁孔292を形成している。弁孔292の各々は、水平吸気路288との間でガスの流通がある。各カートリッジ280は、ねじ孔290と螺合するための外部ねじ部294と、処理ガスを受け入れて送るための下方小径部分296とを有している。より詳しくは、小径部分296は、処理ガスが吸気路288に沿って各空洞282に自由に流れるのを許容する吸気路288の高さ位置に水平スロット298を有している。導入管286から入るガスは、こうして、吸気路288をその幅に亘って等しい圧力まで満たす。
カートリッジ280から分離された弁シート300は、弁孔292の底端に配置され、その外周を前記弁孔に対してO−リング302でシールされている。各ツマミねじ284は、中空カートリッジ280を通って下方に延び且つ弁シート300の内部シーリング面と係合しているニードル304の軸方向に配置され、該ニードルと接している。ニードル304に沿う中間点では、環状ニードル鍔306がスプリング308の付勢力を受ける作用面を形成している。スプリング308は、前記カートリッジの上部筒状孔310内に配置され、小径段部312に接している。ニードル304は、こうしてツマミねじ284と係合しつつ上方に付勢されている。スプリング308は、カートリッジ280に対するニードル304の正確な配置を可能にする。これは、ニードル304が、カートリッジ280の前記穴内で摺動嵌合しており、スプリング308によって止め位置調節可能なツマミねじ284側に付勢されている。加えて、スプリング308の弾性率は、真空引き処理の間、ニードル304の下方への移動に対向するのに十分である。
カートリッジ280は、その周縁の尺度目盛りと回動可能なツマミねじ284とを備え、これがマイクロメーターと同じ機能を持つ。カートリッジ280内でツマミねじ284及びニードル304が軸方向に移動すると、該ニードルの下端と弁シート300との間で弁表面が係合又は分離する。第2の小O−リング314は、ニードル304の周囲に設けられ、カートリッジ280内の上部孔310下方の小径孔316に対して作用する。これは、処理ガスをニードル304周囲の上方へ漏れるのを阻止する。第3のO−リング318カートリッジ280の外周に形成された溝に配置され、処理ガスが前記カートリッジ周囲上方へ逃げるのを防ぐために弁孔292に対して作用する。
図22を参照すれば、狭い通路320は、弁孔292の下へ下方へ延び、図24に良く表れされたエキスパンドチャンバ322に連通している。図23後部フランジ分割体268の前面を見れば、各エキスパンドチャンバ322は、水平スロットとして形成され、通路320の下の後端で、後部フランジ分割体266に面する前端部にまで、外側に広がる。エキスパンドチャンバ322は、流体分離体324によって分離されている。このような5つのエキスパンドチャンバ322は、それらの間の流体分離体324で形成されている。
各エキスパンドチャンバ322の前部下端は、丸みをもった舌片326(図21に外径を示した)まで延在する。この舌片326は、前方へ突出し、後部フランジ分割体268の前面によって形成される面(この面は、前記一対のフランジ分割体の境界面をも形成する。)の僅かに手前まで突出している。分離体324は、図23に示したように、前記境界面まで前方へ突出し、丸みを持つ舌片326の最前端位置で終端する。舌片326は、流体分離体324から後方へ連続し、エキスパンドチャンバ322の下方近傍で湾曲し、共通の平面状斜面328に繋がる。斜面328は、図21に示されているように、導入スロット264と交差するまで、下方及び後方へ連続する。
図21を見れば、前部フランジ分割体266は、エキスパンドチャンバ322と反対側の後面に形成された一連の凹部330を有する。この凹部330は、互いを分離する狭い壁332(該壁を図22に隠れ線で示し、図24に断面で示した。)を有している。各凹部330は、延設され、略半円筒状をした下部壁334を有し、該壁は、下方及び後方へ曲がって連続し、舌片336が後部フランジ分割体268の斜面328の下部近傍にまで延びる。狭い壁332は、前記境界面で終端するが、舌片336は、そこから後方へ延びる。傾斜面328の上面と舌片336の下面とが、狭いガス流路用スリット338を形成し、このスリットは、5つのエキスパンドチャンバ322を結合した幅とほぼ同等の幅を持つ。
処理ガスは、ニードル弁158で規制され、通路320を通ってエキスパンドチャンバ322に下方へ移動し、そこで、ガス流がその速度を下げるために拡散する。処理ガスの5つの低速度流れは、そこで前記境界面を亘ってほぼ前方へ流れ、凹部330の曲げられた壁によって約180°方向を変えられ、狭いスリット338を通して方向付けさせれ、該スリットは、ガスの流れをシート状帯の形態にする。5つのニードル弁158の各々を介して規制された流れは、流体分離体324によってエキスパンドチャンバ322の各々の中で分離された状態にある。この5つの分離された流れは、スリット338を介して混合され、単一の平坦な帯状になる。排気口180から下流側の真空源の方向に向けてプロセスチャンバ180に沿った圧力勾配を先ず小さくする為に、前記シート状ガス流は、導入スロット264内の下方又は後方、即ち、プロセスチャンバ130を介してほぼ水平に連続して流れる。処理ガスが流れる間、導入スロット264の前端部は、反対側へ流れを阻止するために、前述したゲートバルブによって閉じられる。拡散によって共に配合される分離したガス流に、適切な距離及び時間を与えるように、ガス流の混合は、ウェーハのリーディングエッジの上流側のかなり離れた所で開始し、それによって、ガス濃度プロファイルをウェーハ横方向に亘って滑らかにする。
図22に示されているように、ガス噴射機156は、更に、パージガス溝及びプロセスチャンバ130の下部領域172に開口する3つのパージガス孔340を備えている。これに関し、処理ガスは、導入スロット264及び導入通路160を通じてチャンバ130の上部領域162にながれ、その一方、パージガスは、3つの孔340及びパージガス導入孔174を通じて前記チャンバの下部領域172に流れる。パージガス導入口342は、処理ガス導入管286と反対側の後部フランジ分割体268の側端に設けられている。導入口342は、短い水平通路344に導かれ、垂直通路346に接続している。水平パージガス吸気路(plenum)348は、パージガスを孔340の圧力と等しい圧力で分配している。パージガスは、こうして、ガス噴射機156を通じて送られ、等間隔で配置された孔340を介して存在し、これらの孔は、前記ガス噴射機がプロセスチャンバ130と接する時に、導入スロット264の下方近傍であって、中央支持プレート130の下に配置されている。
図21、24及び25を参照すれば、ガス噴射機156は、チャンバO−リング270の保護のために、そこに水冷溝を有している。より詳しくは、一対の下方通路350a,350bが、冷却水流を、ガス噴射機156へ及び該ガス噴射機から送る。ガス噴射機156内の前記内部冷却溝は、図25の断面図によく示されている。冷却水は、通路350aを介し、短いセクション又は通路352を通じて上方へ移動し、延設された水平下部通路354内に入る。この通路354は、垂直横通路356に接続し、従って、延設された水平上部通路358と接続する。最後に、第2の横通路360は、上部通路358から下方へ冷却水排出口350bに延びる。これらの通路の各々は、堅い後部フランジ分割体268に穿設され、栓362がこれらの通路の各々の外側端に設けられていることが分かるだろう。これらの通路のパターンは、チャンバO−リング270の形状と密接に一致する。更に、これらの通路は、図21及び24に良く表されているように、間に介在する固体材料を最小限にして、O−リング270に極近い位置で後部フランジ分割体268内に形成されている。これらの通路を流れる冷却水は、チャンバO−リング270に近接するガス噴射機156の部材の温度を、約15.554℃又はそれ以下に維持するようになっている。使用される冷却水は、好ましくはpH調整された無鉱物(mineral-free)の水であり、これは、室温又はそれ以下で導入される。前記ガス噴射機の構成要素は、好ましくはステンレス鋼で製作され、無鉱物の水は、冷却水の流れを妨害するような付着物の生成を阻止する。
この調節可能なガス噴射機156は、プロセスチャンバ130を通じて流れるガスの効率を大幅に改良している。特に、従来のガス噴射機の多くは、生じた流れのパターンが定まっているか、或いは、調整が不便であった。従って、再循環がチャンバ壁の沈着物から明らかな場合のように、ガス流が最適な量を下回る時、ガス噴射機を通るガス流を調節するために、ガス噴射機全体を分解しなければならない。このガス噴射機156では、ツマミねじ284が、前記チャンバの横幅に亘って調節可能となっている。もし、再循環が前記チャンバの一つの壁又は他の壁上の粒子の成長によって観測されると、その側のガス流がより高く調節される。ガス噴射機の組立てにおける製造許容差は、ツマミねじ284の設定と前記5つのニードル弁を通過するガス流との間の正確な相関をしばしば阻害する。もし、許容差が完全で有れば、前記ツマミねじは、カートリッジ280のマイクロメータの変化に対応して特定の値に設定され得るし、その流量は、その値から予測可能だろう。しかしながら、この許容差は決して正確とは言えず、前記ニードル弁の調節可能性が、処理を大幅に早める。
種々の横方へ分配されたガス流は、ガス噴射機156内で別々に規制されるけれども、噴射機からの集められた排出は、単一のシート状流れであり、そこでは、その流れの長手方向の連結によって、ウェーハリーディングエッジの上流側で、十分に混合させられる。この流れ同士の間の明確な連結を避けることによって、付着の均一性を広げるためである。
ある一つの特定の実施形態では、前記マイクロメータは、ニードル304とバルブシート300との間のガス流を許容するために所定の間隙が開けられている。外側の2つのニードル弁158は、1.5ミリメートル離され、2番目の2つのニードル弁は、1.7ミリメートル離され、中央のニードル弁2ミリメートル離されている。
この対称的配置は、プロセスチャンバ130を通じて流れる対称的なガス流にとって好ましい。もし、引き続き、再循環がチャンバ内で発ししていることが観察されれば、これは、前記ツマミねじの読みに関わらず、ガス流が対称的でないことを示す。この状態では、1又はそれ以上のツマミねじを調節して、前記チャンバの横側の一つのガス流れを増加させる。
典型的な全処理ガスの流れは、16slmである。このガス流は同じ高さの矩形プロセスチャンバ内のガス流に亘って約20パーセント削減される。これは、前記チャンバ内の石英形状に依存する。典型的なガス圧力は、前記ニードル弁通過前に、103.4cmHgである。
チャンバ圧力は、ほぼ8cmHgの真空にすることができる。従来の処理ガスは、例えば、ジクロロシラン又はトリクロロシランである。勿論、処理ガス又はドーピングガスは、キャリア(典型的には水素)と、処理ガスに対してほぼ9対1の割合で混合される。加えて、窒素のようなパージガスは、しばしば、種々のチャンバを掃除するために、前記ガスチャンバから吐出される。更に、塩化水素のようなエッチングガスは、前記溝を掃除するために使用される。ガス噴射機から前記チャンバの下部領域に流れる典型的なパージガスは、5〜15slmの間の流量の水素である。勿論、窒素又は他のそのような不活性ガスで代用することもできる。
このガス噴射機156は、異なるガス間の素早く鋭敏な移動を可能にするために内在する空所の容積を最小量にしている。即ち、幾つかの処理期間中に、最初のドーパントガスがガス噴射機を通じて前記チャンバ内に導入され、続いて次のドーパントガスが導入される。2種のガスの導入の間の移動時に、最初のガスが時々ガス噴射機内で送れる。この噴射機156は、他方、最小限の空所を持つ極めて小さい内部溝を有しており、その結果、間接的に不活性ガスの導入する時、又は、次のドーパントガスを直接導入する時に、最初のドーパントガスが、直ぐに押し出される。
下流側に延設された温度補償リング
図27は、図11で示したプロセスチャンバ130に類似し、CVD平行流れプロセスチャンバ370を示し、これは、処理ガス流内でウェーハを支持するためのサセプタ372を有している。前に見たように、処理ガスは、導入口374を通じて入り、前記チャンバ内の排気口376を通じて排出し、サセプタのプレートに平行な方向に流れている。上部及び下部の放射ヒートランプ群378a,378bのは、前記チャンバ近傍に配置されている。ランプ群378a,bは、さらに図11の実施形態で既述されたランプ群よりも更に下側に延びることに気づくだろう。ヒータランプの他の配列も採用し得る。
図27に示されたこの発明は、ガス流の温度、速度、方向、及び成分を変更する目的を持ったチャンバ内の下流側構造を紹介している。更に、前記ウェーハ及びサセプタの下流側のプロセスチャンバ壁の温度が、この下流側構造によって上昇させられ、チャンバのエッチング効率を改良する。
一つの特定の実施形態では、温度補償リング380は、サセプタ372の回りを囲んで設けられ、多くの点で図11のリング155と同じである。前述のリング155と対比すると、リング155は、支持プレート132の丸みを持った矩形開口部133の縁部へ下流側に延びるが、リング380の天部プレート382は、実質的にさらに延びる。この延在に対応するために、チャンバ370内の支持プレート384は、下流側縁部386を持つサセプタ開口部を有し、該開口部は、前記チャンバの中心線位置で、サセプタ372と排気口376との間のほぼ中間位置にある。
望ましくは、図27に示すように、リング380の天部プレート382の下流側縁部が、前記開口の形状に一致しており、該開口部に密接に接近して終端する。後述するように、リング380を延設したことによる利益は、内部支持プレート384のサイズ縮小によるチャンバ370の強度の低下という不利益と釣り合わなければならない。これは、例えば、放射熱を吸収し、この熱をチャンバ壁に戻して反射するという下流側構造の全体からの利益である。これに関して、材料が下流側に多い程、良い。リング380が大きすぎると、他方では、支持プレート384の材料が削減された場合に、真空引き処理中のチャンバ全体の強度が損なわれ得る。一つの実施形態では、天部プレート382は、図9に示された変更態様の温度補償リング72′のそれと同じ丸みを帯びた下流側縁部を有するが、その天部プレートは、円環状又は他の形状で形成され得る。
ここで既述された内部支持体を持つプロセスチャンバによって具現化された前記下流側構造によってもたらされた利点は、特有のものではないことに気づくべきである。中央支持プレートのない従来のチャンバは、幾つかの利点をもって前記下流側構造を組み込むこともできる。これらの利点は、以下にプロセスチャンバの示された図面を参照して議論する中で、種々のチャンバの態様に対して当業者に明らかとなるだろう。
石英チャンバ388内の下流側構造の一つの一般的実施形態は、図28a及び28bに図示され、サセプタ394の外周と一致し、その近傍の曲線前端縁392を持つ平プレート390を有している。明らかなように、このプレート390は、サセプタ394の下流側のリング380の天部プレート382のその部位を意味するか、又は、サセプタ構造である。もし、プレート390が天部プレート382の一部を構成するなら、それは、前述したチャンバ内支持プレートから延びるフィンガーによって支持される。もし、プレート390が、リング380から分離されているなら、該プレートは、チャンバ内支持プレートに取り付けられたフィンガーから吊設されるか、又は、チャンバ388内に取り付けられ又は配置されたスタンド、好ましくは石英によって支持され得る。プレート390は、好ましくは、グラファイトで構成され、これは、石英チャンバ388よりも早くヒートランプ396の外部から放射されたエネルギーを吸収する。
本発明の下流側構造には、幾つかの利点がある。図29aは、下流側プレート390を持たないプロセスチャンバ388を示し、外部ランプ396からの放射熱流398を図示している。チャンバ388の石英壁は、比較的このエネルギー流を透過させるため、実質的に熱が殆ど残らない。しかし、エッチングプロセスでは、望ましくない粒子の成長でできた壁を清浄するために、腐食用ガス流をチャンバ内に導入している間、前記チャンバ壁を加熱することが必要とされる。従って、前記下流側構造が無いと、反応チャンバの壁は、ゆっくりと比較的低温まで温度上昇する。図29bに示したように、チャンバ388内の下流側プレート390を備えておれば、グラファイトプレートから放射されたエネルギー(矢印400で示した)は、高温まで加熱され、エッチングサイクルを加速し、短時間当たりの効率が改良される。
図30aの矢印402は、ウェーハ上の補強された不均一な化学蒸着を示している。このような不均一性は、段階的温度とウェーハ/サセプタの端縁での流量勾配の結果として生じ得る。図30bに矢印404で示したように、プレート390の存在は、より均一な化学気相成長において生じるウェーハの後端での温度と流量勾配をも補助する。
図31aにおいては、前記下流側構造を持たない石英プロセスチャンバ388の壁は、それらの段階的温度に基づき、処理を繰り返す内にかなりの量の望ましくない蒸着物を堆積する。この蒸着物の典型的な配向を矢印406によって示した。対称的に、図31bで示したように、グラファイトプレート390は、下流側領域内のチャンバ388内で最も高温の構造となり、矢印408で示したように、蒸着は、チャンバ壁でよりもむしろ、下部領域内で起こる。
この下流側プレート390の他の利点は、プロセスチャンバ388内での反応ガスの再循環を減らす傾向であり、再循環は、ウェーハ/サセプタの組み合わせの周囲または上流側でさえも望ましくない蒸着が生じ得る。前記下流側構造を持たないチャンバのこのような再循環410は、図32a及び32bに示されている。図33a及び33bは、下流側プレート390とともに、一般に連続して左から右方向への流れ412を示し、こうして実施的に再循環を除去する。
上で図示され記述された下流側構造は、内部支持体を備えた石英チャンバ以外のプロセスチャンバにも有用である。例えば、図34は、入口領域402と出口領域403とを持つプロセスチャンバ400を図示し、これら2つの領域が断面矩形である。入口領域402は、水平上部壁406と垂直段部410で終わる水平第1下部壁408とによって形成される。段部410は、出口領域404の第2下部壁412の始点を形成し、該段部は、上部壁406を入口領域402で分ける。チャンバ400は、こうして、段部410でほぼ倍増する断面積を有する。サセプタ414は、段部410のすぐ下流側の回転シャフト416によって支持され、第1の下部壁408とほぼ同じレベルの面内にある。第2下部壁412の上方の円環状スタンド420によって支持された温度補償リング418は、上述のように、前記サセプタを密接に囲んでいる。スタンド420は、米国特許第4821674号明細書に示されている。
プレート422は、リング418から下流側に配置され、第2下部壁412上のスタンド上に支持することができ、またはチャンバ400の側壁によって支持されたピン又はジベル424によって支持することができる。図示のように、プレート422は、リング418,サセプタ414及びその上に支持されたウェーハとほぼ同じ平面内にあり、前記リングからチャンバ出口の近くまで延びている。ランプの上部及び下部群426a,426bは、チャンバ内に放射エネルギーを向け、リング418の先端からプレート422の後端まで広がる領域の上下に実質的に配置されている。前記チャンバからのガス流は、矢印428で示されている。下流側プレート422は、好ましくは、より効果的なチャンバエッチング及びウェーハ上での温度のより均一性といった上述の利点を実現するためにグラファイトで構成される。プレート422は、石英とすることができ、これは、チャンバ内で重大な温度分散に影響することは無いだろうが、ガス流の機能を高め、再循環を減らすだろう。
図35は、サセプタ414を囲む変更された温度補償リング432を持つ同様のチャンバ400を示す。リング432は、平面部材436によって載置された下部環状U型部材434を備え、この平面部材は、前記U型部材にほぼ等しいサセプタ回りの幅を有するが、出口領域404内で実質的に下流側に延びる。リング432は、多くの点で図27に示したリング380に類似する。先のリング380と対比すると、該リングは、内部支持プレート384に取り付けられたフィンガーによって支持されていたが、リング432は、スタンド430によって部分的に支持され、下流側支柱438によって部分的に支持されている。もちろん、チャンバ内にリングを配置するために多くの方法があり、好ましい手段は、繰り返された処理サイクルのための劣化した後、簡単に交換することのできる石英構造の幾つかのタイプを含んでいる。再び、リング432は、望ましくは、ウェーハ全体に亘って温度を均一に保つのを助けるため、高い熱容量を持った材料で構成される。
図36〜38は、ウェーハを支持するためのサセプタ442、ガス噴射機444および放射ランプ446のような、連結された構成部品とともに、図11に示したと同様なチャンバ440を図示している。チャンバ440は、上下チャンバ領域452,454各々を形成する中央支持プレート448を有している。入口端フランジ450は、ガス噴射機444内の反応ガス入力スロット458から上部チャンバ領域452内に繋がる上部スロット456と、ガス噴射機内のパージガス通路462から下部チャンバ領域454に繋がる下部スロット460とを有している。これらの形態は、上述のものと同様である。
ガス流形成ダクト464は、ガスを噴射機444からサセプタ442の方へ送るために、チャンバ440内に設けられている。この示された実施形態では、ダクト464は、2つの矩形側壁468上に支持された矩形天部壁466を持った反転U型である。ダクト464は、溝を形成し、該溝内で、噴射機444からのガスがサセプタ442及びその上のに向かって流れる。ダクト464は、サセプタ442に対向する支持プレート450の縁部470から上流側の支持プレート450上方に配置されている。
ダクト464の高さ及び幅は、ダクトが上部スロット456に緊密して嵌合するが、好ましくは噴射機444と接触しないようになっている。ダクト464は、フランジ450からその縁部470までの距離のほぼ1/2から2/3まで延び、好ましくは、94ミリメートルと114.3ミリメートルとの間であり、望ましくは76.2ミリメートルと127ミリメートルとの間である。ダクト464は、望ましくは石英で作られ、約1.52ミリメートルの厚みを持つ。その横幅は、噴射機スロット458よりも大きく、好ましくは228.6ミリメートルである(より大きい寸法が、300ミリメートルウェはのような、大きなウェはの処理に適応したチャンバに必要となろう。)。ダクト464の高さは、約22.9ミリメートル、又は、スロット458の高さとフランジスロット456の高さとの間である。ダクト464は、好ましくはフランジスロット456を介して前記チャンバにに挿入又は該チャンバから取り外され、このことは、噴射機444の取り外しを必要とする。
ダクト464の存在が、噴射機444からのガスの流れが上部領域452のある距離に対してチャンバ440内に膨張するのを制限し、ガスの特性の制御を改善し、ウェーハ上の全体的なガス速度を増加させる。特に、ガス噴射機444は、フローコントロールバルブ472の調節により特別なガス速度特性を作り、以前に記述したように、その特性をチャンバ440内の膨張及び再循環から拡散することができる。これは、真空処理に正反対の大気的なものに対して特に真実である。さらに、ガスの速度は、低圧処理において噴射機444からサセプタ442まで適切に維持され、大気圧処理においてゆっくりと低下される傾向にある。結果として、ダクト464は、下流の速度特性を完全に維持し、すべての処理に対して望ましく、主により高圧の処理に利益のあるガスの流れの膨張及び減速を遅らせる。
図36乃至図38に示すダクト464の上部壁466は、真っ直ぐな下流474及び平行な側壁468を有する。この構成により、サセプタ442の丁度平坦な上流にダクト464から現れるまで大きな障害なしに噴射機444により発生されるガスの流れを通過させる。ガスは、ダクト464の壁により制限されずに、少しチャンバ壁の方に膨張する。ダクト464及び出口端の形状は、さらにガスの流れを導き、形成するように変形されてもよい。そのような変形が図38b乃至図38fに示される。
図38bは、ガスの流れの方向の内側にテーパが設けられた側壁476を有するダクトを示す。上部壁は、上流縁480より小さい下流縁478を有する。この通路を収束させる構成は、内側のガスの流れに注目し、ダクトの制限から離れた後にチャンバ壁の方の連続した膨張が、遅延されている。
図38cは、サセプタ442からみた凹状の下流縁482を示す。下流縁を過ぎると、ガスの流れは、まず中間部で膨張し、いくらか内側の拡散を許容し、中間での流れを増加させる。
図38dは、サセプタ442からみた凸状の下流縁484を示す。下流縁を過ぎると、ガスの流れは、まず外側部で膨張し、いくらか外側の拡散を許容し、中間での流れを減少させる。
図38eは、コーナー488で終端される角部486を有する下流縁を示す。ダクトの側縁は、上部縁のコーナーより広げられ、図38cの凹状の例と同様のガスフロー効果を誘起し、チャンバの中間部を通して流れが増加される。
最後に、図38fは、尖部492で終端される角部490を有する下流縁を示す。ダクトの側縁は、上部壁の中心の前で終端され、図38dの凸状の例と同様のガスフロー効果を誘起し、チャンバの中間部を通して流れが減少される。
本チャンバは、特に化学気相成長に適するが、清浄な炉を必要とする他のプロセスでも、改善されたチャンバから利益を得ることができる。たとえば、アニーリング、エッチング、プラズマエンハンストデポジション、及び他の同様のプロセスに本チャンバを適切に変更して利用することができる。
本発明は、ある好ましい実施形態について述べたが、当業者に明らかな他の実施形態は、本発明の範囲内にある。従って発明の範囲は、以下の請求項により規定される。
Claims (14)
- 上部壁と、該上部壁から間隔をおいて配置された下部壁とを含み、各壁が、凸状の外部表面及び凹状の内部表面を有し、石英、または放射エネルギーが透過可能な他の材料からなるプロセスチャンバであって、
前記壁内に最大内側幅よりも小さい最大内側高さを有するチャンバ空間を作り前記壁の側縁を連結する側方部材と、
チャンバ内で前記側方部材に固定されてその間に延び、チャンバがチャンバ内の圧力より大きい外部圧力を受けるときに前記側方部材が外側へ変形したり、前記壁が平坦になるように変形したりするのに抵抗する支持部材と、
を備えるチャンバ。 - 前記支持部材は、チャンバを上部領域と下部領域とに分ける平坦なプレートであり、該プレートは、チャンバ内で加熱される物体を設置するサセプタを配置するための開口を有する請求項1記載のチャンバ。
- 記上部壁及び下部壁は、一定の各曲率半径をそれぞれ有する請求項1または2に記載のチャンバ。
- 前記壁は、矩形の垂直投影を有し、前記側方部材は、真っ直ぐである請求項1、2または3に記載のチャンバ。
- 前記壁及び側方部材の各々の一端に固定された入ロフランジ及び前記壁及び側方部材の各々の他端に固定された出ロフランジを備える請求項1、2、3または4に記載のチャンバ。
- 前記チャンバは、前記側方部材の一方から他方までの幅寸法と、前記上部壁上の最も高い場所と前記下部壁上の最も低い場所との間の高さ寸法とを有し、前記幅寸法の前記高さ寸法に対する比が、3である請求項1から5のいずれかに記載のチャンバ。
- 前記支持部材は、平坦なプレートであり、基板を支持するサセプタを配置するための矩形の開口を画定し、
前記開口内に配置されるサセプタと、サセプタを緊密に囲む円形の内側縁、および前記開口を画定する前記プレートの縁に近接して配置された矩形の外側縁を有する温度補償リングとを含む請求項1に記載のチャンバ。 - サセプタの周縁において温度補償リングを支持するために、前記プレートに取り付けられる複数の支持ロッドを含む請求項7に記載のチャンバ。
- 前記リング上に温度検出手段を支持する手段を含む請求項8に記載のチャンバ。
- 半導体ウェーハを処理するのに適したチャンバの製造方法であって、前記チャンバの壁は、石英、または放射エネルギーが透過可能な他の材料からなり、前記方法は、
矩形のスペースを画定するように入口端フランジ及び出口端フランジを二つの平行なサイドレールに連結するステップと、
前記フランジと前記サイドレールとの間を延びる前記スペース内にプレートを配置するステップと、
前記プレートを前記サイドレール及び前記フランジに連結するステップと、
前記プレートの上方に上部領域を形成するように外側に湾曲した上部壁を前記サイドレール及び前記フランジに連結するステップと、
前記プレートの下方に下部領域を形成するように外側に湾曲した下部壁を前記サイドレール及び前記フランジに連結するステップとを含み、
前記チャンバは、前記上部及び下部壁の頂点を横断する寸法より大きい前記サイドレールを横断する寸法を有する方法。 - 前記プレートの連結ステップは、
前記サイドレール間で前記入ロフランジに近接する、前記プレートの第1の部分を連結するステップと、
前記サイドレール間で前記出ロフランジに近接する、前記プレートの第2の部分を連結するステップと、
を含み、
前記第1および第2の部分は、それらの間に半導体ウェーハを支持するサセプタを受けるのに十分な開口を画定する請求項10に記載の方法。 - 半導体ウェーハを処理する装置であって、
外側に凸状の上部及び下部壁、およびチャンバが外部圧力より小さい内部圧力を受けるときに前記壁が平坦になるのに抵抗するように配置され連結された複数の内側中央プレート部を有するチャンバと、
半導体ウェーハを支持するサセプタを受け入れるような大きさで前記プレート部同士の間に形成された開口と、
前記サセプタを支持するように適合された上端を含むシャフトを受け入れるように、前記開口の下部の前記下部壁から延びる管と、
前記プレート部の上部の前記チャンバ内へのガス入口と、
前記開口をはさんで前記入口と反対側に配置され、前記プレート部の上部の前記チャンバからのガス出口と、を備える半導体ウェーハ処理装置。 - 前記開口は、矩形であるとともに、前記サセプタを囲む円の内径と、前記矩形の開口に一致する矩形の外形とを有するリングを受け入れるような大きさである請求項12に記載の装置。
- 前記チャンバ内の前記開口と前記ガス出口との間に水平方向に向けられたプレートを含み、前記プレートは、前記プレート部に平行に、横方向に延びるとともに、前記チャンバをサセプタの幅横断して延び、前記チャンバの壁は、放射に対して透明であり、前記プレートは、放射エネルギーの良好な吸収体である請求項12に記載のチャンバ。
Applications Claiming Priority (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US186395P | 1995-08-03 | 1995-08-03 | |
US60/001,863 | 1995-08-03 | ||
US54946195A | 1995-10-27 | 1995-10-27 | |
US08/549,461 | 1995-10-27 | ||
US08/637,616 | 1996-04-25 | ||
US08/637,616 US6093252A (en) | 1995-08-03 | 1996-04-25 | Process chamber with inner support |
PCT/US1996/012641 WO1997006288A1 (en) | 1995-08-03 | 1996-08-01 | Process chamber with inner support |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007164121A Division JP4751371B2 (ja) | 1995-08-03 | 2007-06-21 | 内部支持部材を有するプロセスチャンバ |
Publications (2)
Publication Number | Publication Date |
---|---|
JPH11510562A JPH11510562A (ja) | 1999-09-14 |
JP4048329B2 true JP4048329B2 (ja) | 2008-02-20 |
Family
ID=46202902
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP50855497A Expired - Lifetime JP4048329B2 (ja) | 1995-08-03 | 1996-08-01 | 内部支持部材を有するプロセスチャンバ |
Country Status (6)
Country | Link |
---|---|
US (3) | US6093252A (ja) |
EP (2) | EP0852628B1 (ja) |
JP (1) | JP4048329B2 (ja) |
AU (1) | AU6645596A (ja) |
DE (3) | DE69612389T2 (ja) |
WO (1) | WO1997006288A1 (ja) |
Families Citing this family (442)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6093252A (en) * | 1995-08-03 | 2000-07-25 | Asm America, Inc. | Process chamber with inner support |
EP1046728B1 (en) * | 1995-08-03 | 2003-05-14 | ASM America, Inc. | Process chamber with inner support |
FR2763964B1 (fr) * | 1997-05-28 | 1999-08-13 | Sgs Thomson Microelectronics | Amelioration du flux gazeux dans un reacteur d'epitaxie |
KR20010031714A (ko) * | 1997-11-03 | 2001-04-16 | 러셀 엔. 페어뱅크스, 쥬니어 | 수명이 긴 고온 공정 챔버 |
US6749687B1 (en) | 1998-01-09 | 2004-06-15 | Asm America, Inc. | In situ growth of oxide and silicon layers |
DE19821007A1 (de) * | 1998-05-11 | 1999-11-25 | Steag Rtp Systems Gmbh | Verfahren und Vorrichtung zum thermischen Behandeln von Substraten |
US6185839B1 (en) * | 1998-05-28 | 2001-02-13 | Applied Materials, Inc. | Semiconductor process chamber having improved gas distributor |
US6786935B1 (en) | 2000-03-10 | 2004-09-07 | Applied Materials, Inc. | Vacuum processing system for producing components |
KR100752682B1 (ko) | 2000-04-06 | 2007-08-29 | 에이에스엠 아메리카, 인코포레이티드 | 유리질 보호용 장벽코팅 |
JP4050483B2 (ja) * | 2001-05-14 | 2008-02-20 | 株式会社日立国際電気 | 基板処理装置および半導体装置の製造方法 |
US7163587B2 (en) * | 2002-02-08 | 2007-01-16 | Axcelis Technologies, Inc. | Reactor assembly and processing method |
JP3688264B2 (ja) * | 2002-03-20 | 2005-08-24 | 東京エレクトロン株式会社 | 熱処理方法及び熱処理装置 |
US6861321B2 (en) * | 2002-04-05 | 2005-03-01 | Asm America, Inc. | Method of loading a wafer onto a wafer holder to reduce thermal shock |
US6825051B2 (en) | 2002-05-17 | 2004-11-30 | Asm America, Inc. | Plasma etch resistant coating and process |
US7601225B2 (en) * | 2002-06-17 | 2009-10-13 | Asm International N.V. | System for controlling the sublimation of reactants |
US7018517B2 (en) * | 2002-06-21 | 2006-03-28 | Applied Materials, Inc. | Transfer chamber for vacuum processing system |
US6818864B2 (en) * | 2002-08-09 | 2004-11-16 | Asm America, Inc. | LED heat lamp arrays for CVD heating |
US6927140B2 (en) | 2002-08-21 | 2005-08-09 | Intel Corporation | Method for fabricating a bipolar transistor base |
JP3887291B2 (ja) * | 2002-09-24 | 2007-02-28 | 東京エレクトロン株式会社 | 基板処理装置 |
JP2004207687A (ja) * | 2002-12-10 | 2004-07-22 | Sharp Corp | 半導体製造装置とそれを用いた半導体製造方法 |
US7238595B2 (en) * | 2003-03-13 | 2007-07-03 | Asm America, Inc. | Epitaxial semiconductor deposition methods and structures |
US7682947B2 (en) * | 2003-03-13 | 2010-03-23 | Asm America, Inc. | Epitaxial semiconductor deposition methods and structures |
JP4268429B2 (ja) * | 2003-03-17 | 2009-05-27 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
US20050011459A1 (en) * | 2003-07-15 | 2005-01-20 | Heng Liu | Chemical vapor deposition reactor |
WO2005017963A2 (en) * | 2003-08-04 | 2005-02-24 | Asm America, Inc. | Surface preparation prior to deposition on germanium |
US6974781B2 (en) | 2003-10-20 | 2005-12-13 | Asm International N.V. | Reactor precoating for reduced stress and uniform CVD |
US7108753B2 (en) * | 2003-10-29 | 2006-09-19 | Asm America, Inc. | Staggered ribs on process chamber to reduce thermal effects |
US7169233B2 (en) * | 2003-11-21 | 2007-01-30 | Asm America, Inc. | Reactor chamber |
US7021888B2 (en) | 2003-12-16 | 2006-04-04 | Universities Research Association, Inc. | Ultra-high speed vacuum pump system with first stage turbofan and second stage turbomolecular pump |
JP4379585B2 (ja) * | 2003-12-17 | 2009-12-09 | 信越半導体株式会社 | 気相成長装置およびエピタキシャルウェーハの製造方法 |
US6888104B1 (en) | 2004-02-05 | 2005-05-03 | Applied Materials, Inc. | Thermally matched support ring for substrate processing chamber |
US20050176252A1 (en) * | 2004-02-10 | 2005-08-11 | Goodman Matthew G. | Two-stage load for processing both sides of a wafer |
US7648579B2 (en) * | 2004-02-13 | 2010-01-19 | Asm America, Inc. | Substrate support system for reduced autodoping and backside deposition |
JP2005229043A (ja) * | 2004-02-16 | 2005-08-25 | Sumitomo Electric Ind Ltd | ヒータユニット及び該ヒータを搭載した装置 |
JP4982355B2 (ja) * | 2004-02-27 | 2012-07-25 | エーエスエム アメリカ インコーポレイテッド | ゲルマニウム膜の形成方法 |
CN103199039B (zh) * | 2004-06-02 | 2016-01-13 | 应用材料公司 | 电子装置制造室及其形成方法 |
US7784164B2 (en) * | 2004-06-02 | 2010-08-31 | Applied Materials, Inc. | Electronic device manufacturing chamber method |
US20060201074A1 (en) * | 2004-06-02 | 2006-09-14 | Shinichi Kurita | Electronic device manufacturing chamber and methods of forming the same |
US7253084B2 (en) * | 2004-09-03 | 2007-08-07 | Asm America, Inc. | Deposition from liquid sources |
US20060054090A1 (en) * | 2004-09-15 | 2006-03-16 | Applied Materials, Inc. | PECVD susceptor support construction |
JP2006093557A (ja) * | 2004-09-27 | 2006-04-06 | Sharp Corp | 気相成長装置 |
US20060084283A1 (en) * | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
JP4934595B2 (ja) | 2005-01-18 | 2012-05-16 | エーエスエム アメリカ インコーポレイテッド | 薄膜成長用反応装置 |
US7704896B2 (en) * | 2005-01-21 | 2010-04-27 | Asm International, N.V. | Atomic layer deposition of thin films on germanium |
KR101265364B1 (ko) * | 2005-05-23 | 2013-05-20 | 쓰리엠 이노베이티브 프로퍼티즈 컴파니 | 보조 매니폴드로부터의 유체 유동을 이용한 중합체성재료의 멜트블로잉을 위한 방법 및 장치 |
JP2008546078A (ja) * | 2005-05-23 | 2008-12-18 | スリーエム イノベイティブ プロパティズ カンパニー | 所望の質量重量特性を有する液体を放出するためのマニホールド及びその設計方法 |
US7396415B2 (en) * | 2005-06-02 | 2008-07-08 | Asm America, Inc. | Apparatus and methods for isolating chemical vapor reactions at a substrate surface |
JP2007012734A (ja) * | 2005-06-29 | 2007-01-18 | Matsushita Electric Ind Co Ltd | プラズマエッチング装置及びプラズマエッチング方法 |
KR100741975B1 (ko) * | 2005-08-25 | 2007-07-23 | 삼성에스디아이 주식회사 | 열처리 장치 및 이를 이용한 열처리 방법 |
EP1772901B1 (en) * | 2005-10-07 | 2012-07-25 | Rohm and Haas Electronic Materials, L.L.C. | Wafer holding article and method for semiconductor processing |
DE102005056320A1 (de) * | 2005-11-25 | 2007-06-06 | Aixtron Ag | CVD-Reaktor mit einem Gaseinlassorgan |
KR101332206B1 (ko) * | 2005-12-02 | 2013-11-25 | 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. | 반도체 처리 방법 |
US20070240644A1 (en) * | 2006-03-24 | 2007-10-18 | Hiroyuki Matsuura | Vertical plasma processing apparatus for semiconductor process |
US7648853B2 (en) | 2006-07-11 | 2010-01-19 | Asm America, Inc. | Dual channel heterostructure |
US7508609B2 (en) * | 2006-10-25 | 2009-03-24 | Spectra Logic Corporation | Formatted storage media providing space for encrypted text and dedicated space for clear text |
US9481943B2 (en) * | 2006-11-22 | 2016-11-01 | Soitec | Gallium trichloride injection scheme |
US9481944B2 (en) | 2006-11-22 | 2016-11-01 | Soitec | Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same |
US20080131979A1 (en) * | 2006-12-04 | 2008-06-05 | Sumitomo Electric Industries, Ltd. | Vapor-Phase Growth System and Vapor-Phase Growth Method |
KR100824301B1 (ko) * | 2006-12-21 | 2008-04-22 | 세메스 주식회사 | 반응 챔버와 이를 포함하는 탄소나노튜브 합성 장치 및 설비 |
ATE532609T1 (de) * | 2007-02-01 | 2011-11-15 | Ibp Conex Ltd | Einführ- und freigabewerkzeug für rohrverbindungsanordnung und verfahren zur verwendung solch eines werkzeugs |
US7874726B2 (en) | 2007-05-24 | 2011-01-25 | Asm America, Inc. | Thermocouple |
US8034410B2 (en) | 2007-07-17 | 2011-10-11 | Asm International N.V. | Protective inserts to line holes in parts for semiconductor process equipment |
US20090095422A1 (en) * | 2007-09-06 | 2009-04-16 | Hitachi Kokusai Electric Inc. | Semiconductor manufacturing apparatus and substrate processing method |
US7807222B2 (en) | 2007-09-17 | 2010-10-05 | Asm International N.V. | Semiconductor processing parts having apertures with deposited coatings and methods for forming the same |
JP2011501409A (ja) * | 2007-10-10 | 2011-01-06 | イザ,マイケル | 化学蒸着反応チャンバ |
US20090101633A1 (en) * | 2007-10-19 | 2009-04-23 | Asm America, Inc. | Reactor with small linear lamps for localized heat control and improved temperature uniformity |
US7939447B2 (en) * | 2007-10-26 | 2011-05-10 | Asm America, Inc. | Inhibitors for selective deposition of silicon containing films |
US7993057B2 (en) | 2007-12-20 | 2011-08-09 | Asm America, Inc. | Redundant temperature sensor for semiconductor processing chambers |
KR20100114037A (ko) * | 2007-12-20 | 2010-10-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 향상된 가스 유동 분포를 가진 열 반응기 |
US7655543B2 (en) | 2007-12-21 | 2010-02-02 | Asm America, Inc. | Separate injection of reactive species in selective formation of films |
JP5060324B2 (ja) * | 2008-01-31 | 2012-10-31 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び処理容器 |
DE102008017784B4 (de) * | 2008-04-08 | 2014-04-17 | Ivoclar Vivadent Ag | Vorrichtung zum Anfertigen einer Muffel |
US20090280248A1 (en) * | 2008-05-06 | 2009-11-12 | Asm America, Inc. | Porous substrate holder with thinned portions |
US7946762B2 (en) | 2008-06-17 | 2011-05-24 | Asm America, Inc. | Thermocouple |
US20110033610A1 (en) * | 2008-06-30 | 2011-02-10 | Bertram Jr Ronald Thomas | Modular and readily configurable reactor enclosures and associated function modules |
US8343583B2 (en) | 2008-07-10 | 2013-01-01 | Asm International N.V. | Method for vaporizing non-gaseous precursor in a fluidized bed |
US8394229B2 (en) | 2008-08-07 | 2013-03-12 | Asm America, Inc. | Susceptor ring |
JP5276387B2 (ja) * | 2008-09-04 | 2013-08-28 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 |
US8801857B2 (en) | 2008-10-31 | 2014-08-12 | Asm America, Inc. | Self-centering susceptor ring assembly |
CN102203910B (zh) * | 2008-11-07 | 2014-12-10 | Asm美国公司 | 反应室 |
US8209833B2 (en) * | 2008-11-07 | 2012-07-03 | Tokyo Electron Limited | Thermal processing system and method of using |
US8512472B2 (en) * | 2008-11-13 | 2013-08-20 | Applied Materials, Inc. | Method and apparatus to enhance process gas temperature in a CVD reactor |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8012876B2 (en) * | 2008-12-02 | 2011-09-06 | Asm International N.V. | Delivery of vapor precursor from solid source |
KR101028410B1 (ko) * | 2008-12-29 | 2011-04-13 | 주식회사 케이씨텍 | 서셉터 및 이를 구비하는 원자층 증착장치 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8486191B2 (en) | 2009-04-07 | 2013-07-16 | Asm America, Inc. | Substrate reactor with adjustable injectors for mixing gases within reaction chamber |
IT1393695B1 (it) * | 2009-04-17 | 2012-05-08 | Lpe Spa | Camera di reazione di un reattore epitassiale e reattore che la utilizza |
US8382370B2 (en) | 2009-05-06 | 2013-02-26 | Asm America, Inc. | Thermocouple assembly with guarded thermocouple junction |
US9297705B2 (en) | 2009-05-06 | 2016-03-29 | Asm America, Inc. | Smart temperature measuring device |
US8802201B2 (en) * | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9885123B2 (en) | 2011-03-16 | 2018-02-06 | Asm America, Inc. | Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow |
US9512520B2 (en) * | 2011-04-25 | 2016-12-06 | Applied Materials, Inc. | Semiconductor substrate processing system |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9127345B2 (en) | 2012-03-06 | 2015-09-08 | Asm America, Inc. | Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent |
TWI565825B (zh) * | 2012-06-07 | 2017-01-11 | 索泰克公司 | 沉積系統之氣體注入組件及相關使用方法 |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9171715B2 (en) | 2012-09-05 | 2015-10-27 | Asm Ip Holding B.V. | Atomic layer deposition of GeO2 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9748120B2 (en) | 2013-07-01 | 2017-08-29 | Lam Research Ag | Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus |
WO2014103728A1 (ja) * | 2012-12-27 | 2014-07-03 | 昭和電工株式会社 | 成膜装置 |
US9583363B2 (en) | 2012-12-31 | 2017-02-28 | Sunedison Semiconductor Limited (Uen201334164H) | Processes and apparatus for preparing heterostructures with reduced strain by radial distension |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
USD702188S1 (en) | 2013-03-08 | 2014-04-08 | Asm Ip Holding B.V. | Thermocouple |
TWI502096B (zh) * | 2013-06-17 | 2015-10-01 | Ind Tech Res Inst | 用於化學氣相沉積的反應裝置及反應製程 |
US10047457B2 (en) * | 2013-09-16 | 2018-08-14 | Applied Materials, Inc. | EPI pre-heat ring |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9530623B2 (en) * | 2013-11-26 | 2016-12-27 | Applied Materials, Inc. | Process chamber apparatus, systems, and methods for controlling a gas flow pattern |
US9218963B2 (en) | 2013-12-19 | 2015-12-22 | Asm Ip Holding B.V. | Cyclical deposition of germanium |
KR101525210B1 (ko) * | 2013-12-20 | 2015-06-05 | 주식회사 유진테크 | 기판 처리장치 |
KR102381816B1 (ko) | 2014-02-14 | 2022-04-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 주입 어셈블리를 갖는 상부 돔 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
TWI537083B (zh) * | 2014-03-18 | 2016-06-11 | 永發欣業有限公司 | 加熱單元及包含其之真空焊接機 |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10490426B2 (en) | 2014-08-26 | 2019-11-26 | Lam Research Ag | Method and apparatus for processing wafer-shaped articles |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
TWI571529B (zh) * | 2015-12-18 | 2017-02-21 | 國立清華大學 | 具導流板之封閉式流道反應槽系統 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) * | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
CN117107221A (zh) * | 2016-03-28 | 2023-11-24 | 应用材料公司 | 基座支撑件 |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
USD793352S1 (en) * | 2016-07-11 | 2017-08-01 | Asm Ip Holding B.V. | Getter plate |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10840114B1 (en) * | 2016-07-26 | 2020-11-17 | Raytheon Company | Rapid thermal anneal apparatus and method |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10446420B2 (en) * | 2016-08-19 | 2019-10-15 | Applied Materials, Inc. | Upper cone for epitaxy chamber |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) * | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10919014B2 (en) * | 2018-04-09 | 2021-02-16 | Craig Technical Consulting, Inc. | High-load vacuum chamber motion feedthrough systems and methods |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
CN214848503U (zh) * | 2018-08-29 | 2021-11-23 | 应用材料公司 | 注入器设备、基板处理设备及在机器可读介质中实现的结构 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
CN111446185A (zh) | 2019-01-17 | 2020-07-24 | Asm Ip 控股有限公司 | 通风基座 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
USD920936S1 (en) | 2019-01-17 | 2021-06-01 | Asm Ip Holding B.V. | Higher temperature vented susceptor |
USD914620S1 (en) | 2019-01-17 | 2021-03-30 | Asm Ip Holding B.V. | Vented susceptor |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
TWI845682B (zh) | 2019-05-22 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 工件基座主體 |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
US11032945B2 (en) * | 2019-07-12 | 2021-06-08 | Applied Materials, Inc. | Heat shield assembly for an epitaxy chamber |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11764101B2 (en) | 2019-10-24 | 2023-09-19 | ASM IP Holding, B.V. | Susceptor for semiconductor substrate processing |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
IT201900022047A1 (it) * | 2019-11-25 | 2021-05-25 | Lpe Spa | Dispositivo di supporto substrati per una camera di reazione di un reattore epitassiale con rotazione a flusso di gas, camera di reazione e reattore epitassiale |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
CN112331609B (zh) * | 2020-10-26 | 2023-12-22 | 北京北方华创微电子装备有限公司 | 半导体工艺设备中的加热基座及半导体工艺设备 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
USD1031676S1 (en) | 2020-12-04 | 2024-06-18 | Asm Ip Holding B.V. | Combined susceptor, support, and lift system |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
CN112831771A (zh) * | 2020-12-30 | 2021-05-25 | 上海埃原半导体设备有限公司 | 一种化学气相沉积用的非金属反应腔 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1028913S1 (en) | 2021-06-30 | 2024-05-28 | Asm Ip Holding B.V. | Semiconductor deposition reactor ring |
TW202314034A (zh) * | 2021-06-30 | 2023-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 化學氣相沉積系統及其組合 |
CN113718332A (zh) * | 2021-08-30 | 2021-11-30 | 北京北方华创微电子装备有限公司 | 半导体设备 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN117836915A (zh) * | 2021-09-24 | 2024-04-05 | 株式会社国际电气 | 基板处理装置、半导体装置的制造方法以及程序 |
CN115197576B (zh) * | 2022-07-12 | 2024-04-09 | 苏州昌和应用材料有限公司 | 压合机用硅胶垫及其制造方法、热风循环烘箱 |
Family Cites Families (71)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3560166A (en) * | 1968-02-05 | 1971-02-02 | Dow Chemical Co | System for treatment of substrates by a plurality of fluid reactants |
US3627590A (en) * | 1968-12-02 | 1971-12-14 | Western Electric Co | Method for heat treatment of workpieces |
US3598082A (en) * | 1969-08-14 | 1971-08-10 | Texas Instruments Inc | Continuous epitaxial deposition system |
US3744964A (en) * | 1971-05-28 | 1973-07-10 | Texas Instruments Inc | High temperature diffusion tube |
US3830194A (en) * | 1972-09-28 | 1974-08-20 | Applied Materials Tech | Susceptor support structure and docking assembly |
US4076859A (en) * | 1973-08-29 | 1978-02-28 | Schladitz-Whiskers Ag | Process for metallizing strips, sheets or the like |
DE2343499C3 (de) * | 1973-08-29 | 1980-07-10 | Schladitz-Whiskers Ag, Zug (Schweiz) | Verfahren und Vorrichtung zum Metallisieren von bewegtem flächigem Gut |
US3956860A (en) * | 1974-05-20 | 1976-05-18 | Polycyl (Engineering) Limited | Construction of containers or tanks |
US4108108A (en) * | 1974-07-10 | 1978-08-22 | Schladitz-Whiskers Ag. | Apparatus for metallizing strips, sheets or the like |
US4188519A (en) * | 1978-03-20 | 1980-02-12 | Pyreflex Corporation | Process and apparatus for controllably exchanging heat between two bodies |
JPS57198620A (en) * | 1981-06-01 | 1982-12-06 | Fujitsu Ltd | Vapor growth of compound semiconductor |
US4512283A (en) * | 1982-02-01 | 1985-04-23 | Texas Instruments Incorporated | Plasma reactor sidewall shield |
JPS59928A (ja) * | 1982-06-25 | 1984-01-06 | Ushio Inc | 光加熱装置 |
US4545327A (en) * | 1982-08-27 | 1985-10-08 | Anicon, Inc. | Chemical vapor deposition apparatus |
US4886449A (en) * | 1982-12-04 | 1989-12-12 | General Motors Corporation | Vacuum brazing of aluminum alloy workpieces |
JPS59112614A (ja) * | 1982-12-17 | 1984-06-29 | Matsushita Electric Ind Co Ltd | 気相成長装置 |
JPS59223294A (ja) * | 1983-06-01 | 1984-12-15 | Matsushita Electric Ind Co Ltd | 気相成長装置 |
US4539933A (en) * | 1983-08-31 | 1985-09-10 | Anicon, Inc. | Chemical vapor deposition apparatus |
US4590024A (en) * | 1984-03-29 | 1986-05-20 | Solavolt International | Silicon deposition process |
JPS6153197A (ja) * | 1984-08-18 | 1986-03-17 | Matsushita Electric Ind Co Ltd | 結晶成長装置 |
JPS62166624U (ja) * | 1986-04-14 | 1987-10-22 | ||
US4994301A (en) * | 1986-06-30 | 1991-02-19 | Nihon Sinku Gijutsu Kabusiki Kaisha | ACVD (chemical vapor deposition) method for selectively depositing metal on a substrate |
EP0255454A3 (en) * | 1986-07-26 | 1991-11-21 | Nihon Shinku Gijutsu Kabushiki Kaisha | Apparatus for chemical vapor deposition |
JPS63153388A (ja) * | 1986-08-23 | 1988-06-25 | 東レ株式会社 | 熱処理炉 |
US4839145A (en) * | 1986-08-27 | 1989-06-13 | Massachusetts Institute Of Technology | Chemical vapor deposition reactor |
US4807562A (en) * | 1987-01-05 | 1989-02-28 | Norman Sandys | Reactor for heating semiconductor substrates |
US5091219A (en) * | 1987-02-17 | 1992-02-25 | Lam Research Corporation | Chemical vapor deposition method |
US4821674A (en) * | 1987-03-31 | 1989-04-18 | Deboer Wiebe B | Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment |
US4836138A (en) * | 1987-06-18 | 1989-06-06 | Epsilon Technology, Inc. | Heating system for reaction chamber of chemical vapor deposition equipment |
US5096534A (en) * | 1987-06-24 | 1992-03-17 | Epsilon Technology, Inc. | Method for improving the reactant gas flow in a reaction chamber |
US4828224A (en) * | 1987-10-15 | 1989-05-09 | Epsilon Technology, Inc. | Chemical vapor deposition system |
US5221556A (en) * | 1987-06-24 | 1993-06-22 | Epsilon Technology, Inc. | Gas injectors for reaction chambers in CVD systems |
DE3721636A1 (de) * | 1987-06-30 | 1989-01-12 | Aixtron Gmbh | Quarzglasreaktor fuer mocvd-anlagen |
US5062386A (en) * | 1987-07-27 | 1991-11-05 | Epitaxy Systems, Inc. | Induction heated pancake epitaxial reactor |
US4854263B1 (en) * | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
JPS6453544A (en) * | 1987-08-25 | 1989-03-01 | Mitsubishi Electric Corp | Semiconductor manufacturing apparatus |
US5092728A (en) * | 1987-10-15 | 1992-03-03 | Epsilon Technology, Inc. | Substrate loading apparatus for a CVD process |
US4980204A (en) * | 1987-11-27 | 1990-12-25 | Fujitsu Limited | Metal organic chemical vapor deposition method with controlled gas flow rate |
GB2213837B (en) * | 1987-12-22 | 1992-03-11 | Philips Electronic Associated | Electronic device manufacture with deposition of material |
DE3807302A1 (de) * | 1988-03-05 | 1989-09-14 | Dornier Gmbh | Spiegelofen |
US4993360A (en) * | 1988-03-28 | 1991-02-19 | Kabushiki Kaisha Toshiba | Vapor growth apparatus having a diffuser section containing a flow regulating member |
KR0155545B1 (ko) * | 1988-06-27 | 1998-12-01 | 고다까 토시오 | 기판의 열처리 장치 |
JPH0225577A (ja) * | 1988-07-15 | 1990-01-29 | Mitsubishi Electric Corp | 薄膜形成装置 |
US4920918A (en) * | 1989-04-18 | 1990-05-01 | Applied Materials, Inc. | Pressure-resistant thermal reactor system for semiconductor processing |
JPH0633233B2 (ja) * | 1989-10-13 | 1994-05-02 | 住友金属工業株式会社 | 気相成長装置 |
US5077875A (en) * | 1990-01-31 | 1992-01-07 | Raytheon Company | Reactor vessel for the growth of heterojunction devices |
US5108792A (en) * | 1990-03-09 | 1992-04-28 | Applied Materials, Inc. | Double-dome reactor for semiconductor processing |
US5179677A (en) * | 1990-08-16 | 1993-01-12 | Applied Materials, Inc. | Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity |
US5085887A (en) * | 1990-09-07 | 1992-02-04 | Applied Materials, Inc. | Wafer reactor vessel window with pressure-thermal compensation |
DE4132204C2 (de) * | 1991-09-27 | 1999-11-04 | Leybold Ag | Vorrichtung zur Reduzierung der Durchbiegung von Außenwänden an Vakuumbehältern |
JP3057330B2 (ja) | 1991-09-27 | 2000-06-26 | コマツ電子金属株式会社 | ガス導入装置、エピタキシャル成長装置およびエピタキシャル成長方法 |
JP3131005B2 (ja) * | 1992-03-06 | 2001-01-31 | パイオニア株式会社 | 化合物半導体気相成長装置 |
US5455069A (en) * | 1992-06-01 | 1995-10-03 | Motorola, Inc. | Method of improving layer uniformity in a CVD reactor |
US5370736A (en) | 1992-10-26 | 1994-12-06 | Texas Instruments Incorporated | Horizontal reactor hardware design |
JPH06151338A (ja) * | 1992-11-06 | 1994-05-31 | Sumitomo Metal Ind Ltd | 気相成長装置 |
US5328722A (en) * | 1992-11-06 | 1994-07-12 | Applied Materials, Inc. | Metal chemical vapor deposition process using a shadow ring |
US5292554A (en) | 1992-11-12 | 1994-03-08 | Applied Materials, Inc. | Deposition apparatus using a perforated pumping plate |
US5271963A (en) * | 1992-11-16 | 1993-12-21 | Materials Research Corporation | Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction |
US5421893A (en) * | 1993-02-26 | 1995-06-06 | Applied Materials, Inc. | Susceptor drive and wafer displacement mechanism |
JP3292540B2 (ja) * | 1993-03-03 | 2002-06-17 | 東京エレクトロン株式会社 | 熱処理装置 |
US5421957A (en) * | 1993-07-30 | 1995-06-06 | Applied Materials, Inc. | Low temperature etching in cold-wall CVD systems |
US5421401A (en) * | 1994-01-25 | 1995-06-06 | Applied Materials, Inc. | Compound clamp ring for semiconductor wafers |
US5551982A (en) * | 1994-03-31 | 1996-09-03 | Applied Materials, Inc. | Semiconductor wafer process chamber with susceptor back coating |
JPH08316154A (ja) * | 1995-02-23 | 1996-11-29 | Applied Materials Inc | 疑似ホットウォール反応チャンバ |
US5685906A (en) * | 1995-03-23 | 1997-11-11 | Seh America, Inc. | Method and apparatus for configuring an epitaxial reactor for reduced set-up time and improved layer quality |
US6093252A (en) * | 1995-08-03 | 2000-07-25 | Asm America, Inc. | Process chamber with inner support |
US6113702A (en) * | 1995-09-01 | 2000-09-05 | Asm America, Inc. | Wafer support system |
DE69732722T2 (de) * | 1996-03-22 | 2006-02-02 | Taiyo Nippon Sanso Corporation | CVD Verfahren |
FR2763964B1 (fr) * | 1997-05-28 | 1999-08-13 | Sgs Thomson Microelectronics | Amelioration du flux gazeux dans un reacteur d'epitaxie |
KR20010031714A (ko) * | 1997-11-03 | 2001-04-16 | 러셀 엔. 페어뱅크스, 쥬니어 | 수명이 긴 고온 공정 챔버 |
KR100752682B1 (ko) * | 2000-04-06 | 2007-08-29 | 에이에스엠 아메리카, 인코포레이티드 | 유리질 보호용 장벽코팅 |
-
1996
- 1996-04-25 US US08/637,616 patent/US6093252A/en not_active Expired - Lifetime
- 1996-08-01 EP EP96926233A patent/EP0852628B1/en not_active Expired - Lifetime
- 1996-08-01 WO PCT/US1996/012641 patent/WO1997006288A1/en active IP Right Grant
- 1996-08-01 JP JP50855497A patent/JP4048329B2/ja not_active Expired - Lifetime
- 1996-08-01 AU AU66455/96A patent/AU6645596A/en not_active Abandoned
- 1996-08-01 EP EP00202096A patent/EP1036860A3/en not_active Withdrawn
- 1996-08-01 DE DE69612389T patent/DE69612389T2/de not_active Expired - Fee Related
- 1996-08-01 DE DE69632597T patent/DE69632597T2/de not_active Expired - Fee Related
- 1996-08-01 DE DE69628211T patent/DE69628211T2/de not_active Expired - Fee Related
-
2000
- 2000-07-11 US US09/613,437 patent/US6464792B1/en not_active Expired - Fee Related
-
2002
- 2002-08-01 US US10/211,776 patent/US6608287B2/en not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
DE69628211T2 (de) | 2004-02-19 |
US6093252A (en) | 2000-07-25 |
JPH11510562A (ja) | 1999-09-14 |
DE69632597T2 (de) | 2005-06-09 |
DE69612389T2 (de) | 2001-10-11 |
US20020179586A1 (en) | 2002-12-05 |
EP1036860A3 (en) | 2000-12-06 |
DE69632597D1 (de) | 2004-07-01 |
EP1036860A2 (en) | 2000-09-20 |
EP0852628A1 (en) | 1998-07-15 |
AU6645596A (en) | 1997-03-05 |
EP0852628B1 (en) | 2001-04-04 |
DE69628211D1 (de) | 2003-06-18 |
WO1997006288A1 (en) | 1997-02-20 |
US6464792B1 (en) | 2002-10-15 |
DE69612389D1 (de) | 2001-05-10 |
US6608287B2 (en) | 2003-08-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4048329B2 (ja) | 内部支持部材を有するプロセスチャンバ | |
JP4751371B2 (ja) | 内部支持部材を有するプロセスチャンバ | |
JP4108748B2 (ja) | コールドウォール気相成長法 | |
US6454863B1 (en) | Compact process chamber for improved process uniformity | |
US6465761B2 (en) | Heat lamps for zone heating | |
US5194401A (en) | Thermally processing semiconductor wafers at non-ambient pressures | |
JP2007522681A (ja) | オートドーピングおよび裏面堆積を減少させるための基板支持システム | |
JP2008227487A (ja) | 放射加熱を具備するマイクロバッチ堆積チャンバ | |
US6861321B2 (en) | Method of loading a wafer onto a wafer holder to reduce thermal shock | |
TW202230491A (zh) | 用於半導體晶圓反應器中的預熱環之系統及方法 | |
JP5964293B2 (ja) | 基板処理システムで使用するための窓アセンブリ | |
JP3361804B2 (ja) | Ccvd反応器システム | |
US20230002895A1 (en) | Semiconductor deposition reactor and components for reduced quartz devitrification | |
JPH01259525A (ja) | 薄膜形成装置 | |
JPS61117194A (ja) | 気相化学反応生成装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20070403 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20070621 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20071023 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20071113 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20101207 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20101207 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20111207 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20111207 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121207 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121207 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131207 Year of fee payment: 6 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |