DE69628211T2 - Prozesskammer mit innerer Tragstruktur - Google Patents

Prozesskammer mit innerer Tragstruktur Download PDF

Info

Publication number
DE69628211T2
DE69628211T2 DE69628211T DE69628211T DE69628211T2 DE 69628211 T2 DE69628211 T2 DE 69628211T2 DE 69628211 T DE69628211 T DE 69628211T DE 69628211 T DE69628211 T DE 69628211T DE 69628211 T2 DE69628211 T2 DE 69628211T2
Authority
DE
Germany
Prior art keywords
chamber
plate
susceptor
gas
ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69628211T
Other languages
English (en)
Other versions
DE69628211D1 (de
Inventor
John F. Jacksonville Wengert
Loren R Jacobs
Michael W Halpin
Derrick W Foster
Cornelius A Van Der Jeugd
Robert M. Gilbert Vyne
Mark R. Hawkins
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of DE69628211D1 publication Critical patent/DE69628211D1/de
Application granted granted Critical
Publication of DE69628211T2 publication Critical patent/DE69628211T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J3/00Processes of utilising sub-atmospheric or super-atmospheric pressure to effect chemical or physical change of matter; Apparatus therefor
    • B01J3/006Processes utilising sub-atmospheric pressure; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Description

  • Technisches Gebiet der Erfindung
  • Diese Erfindung betrifft Prozeßkammern für die chemische Dampfabscheidung oder eine andere Verarbeitung von Halbleiterwafern und dergleichen.
  • Technischer Hintergrund der Erfindung
  • Prozeßkammern für die thermische Behandlung von Halbleiterwafern werden wünschenswerterweise aus Quarz (Quarzglas) oder ähnlichem Material hergestellt, da Quarz im wesentlichen gegenüber Strahlungsenergie transparent ist. Somit können Heizstrahler neben der Außenseite der Kammer positioniert sein, und ein gerade in der Kammer bearbeiteter Wafer kann auf erhöhte Temperaturen aufgeheizt werden, ohne daß die Kammerwände auf dasselbe Niveau erwärmt werden. Auf der anderen Seite ist Quarz wünschenswert, da es sehr hohen Temperaturen widerstehen kann. Quarz ist ebenso wünschenswert, da es wegen seiner inerten Charakteristika in der Lage ist, einem Qualitätsverlust durch verschiedene Prozeßgase zu widerstehen, und wegen seiner hohen Reinheitscharakteristika.
  • Für Anwendungen, in denen der Druck innerhalb einer Quarzkammer viel weiter reduziert werden soll als der Umgebungsdruck, werden zylindrische oder sphärische Kammern vom Dauerhaftigkeitsstandpunkt aus bevorzugt, da ihre gekrümmten Oberflächen am besten der nach innen gerichteten Kraft widerstehen können. Wenn jedoch ein flacher Wafer für die Zwecke der chemischen Dampfabscheidung positioniert wird, wobei die Abscheidungsgase parallel zu dem Wafer strömen, ist es wünschenswert, daß sich die Kammerwand parallel zu der zugewandten flachen Oberfläche des Wafers befindet, um eine gleichmäßige Deposition bzw. Abscheidung auf der Waferoberfläche zu erhalten. Eine gleichförmige Abscheidung ist entscheidend für das Erzielen einer hohen Ausbeute von akzeptablen Produkten, die aus solch einem Wafer hergestellt werden sollen. Eine flache Wand wird jedoch bei einem reduzierten inneren Druck früher nach innen einbrechen als eine nach außen konvex gekrümmte Wand gleicher Größe und Dicke.
  • Um mit den nach innen auf Kammern mit flacher Wand gerichteten Kräften umzugehen, wurden Verstärkungen auf der Außenwand der Wände bereitgestellt, die sich im wesentlichen senkrecht zu den Wänden erstrecken, mit welchen sie verbunden sind, wie in dem US-Patent Nr. 4,920,918 gesehen werden kann. Dieses Patent zeigt auch Verstärkungen an der Außenwand einer Kammer mit nach außen konvex gekrümmten elliptischen oberen und unteren Wänden mit einem großen Krümmungsradius, wobei somit eine etwas abgeflachte, jedoch gekrümmte Konfiguration zur Verfügung gestellt wird. Dieser Kompromiß stellt von den gekrümmten Wänden eine zusätzliche Stärke zur Verfügung, während die Gleichmäßigkeit der Abscheidung nicht nennenswert beeinflußt wird. Ein deutlicher Nachteil einer solchen Konstruktion besteht darin, daß die äußeren Verstärkungen das äußere Positionieren von Heizstrahlerlampen komplizieren und stören. Darüber hinaus erhöht die Komplexität und die Masse der Quarzverstärkungen die Material- und Herstellungskosten.
  • Natürlich können flache Wände dicker hergestellt werden, um die Stärke bzw. Festigkeit zu erhöhen, dies verursacht jedoch zusätzliche Kosten und beeinflußt die Heiz- und Kühleigenschaften der Kammer in nachteiliger Weise.
  • Das US-Patent Nr. 5,085,887 zeigt eine Kammer, die eine runde, leicht domartig gewölbte oder gekrümmte obere Kammerwand aufweist, um die Last eines reduzierten Kammerdruckes aufzunehmen. Die kreisförmige Wand ist mit einem stark verdickten Umfangsflansch ausgestattet, der in radialer Richtung die obere Wand begrenzt, um zu veranlassen, daß die domförmig gekrümmte Wand sich aufgrund der Wärmeausdehnung nach außen biegt, was dabei hilft, dem äußeren Umgebungsdruck in Vakuumanwendungen zu widerstehen. Die Kammer erfordert einen komplexen Mechanismus für das Klemmen der verdickten äußeren Flansche der oberen und unteren Kammerwand.
  • Aufgrund der hohen Temperaturen, die mit den thermisch aktivierten chemischen Dampfabscheidungsprozessen verbunden sind, heizen sich die Wände der Behandlungskammer zur einem bestimmten Grad auf und chemische Partikel werden hierauf abgeschieden. Diese Partikel können ernsthafte Probleme betreffend die Reinheit des resultierenden bearbeiteten Wafers verursachen. Im Ergebnis wurden große Anstrengungen unternommen, um das Bilden von Partikelsubstanz auf den Wänden der Reaktionskammer zu reduzieren. Eine Lösung besteht darin, die Innenseiten der Behandlungskammern periodisch zu ätzen, um die Partikelsubstanz zu entfernen, bevor sie auf ein schädliches Niveau anwächst. Unglücklicherweise brauchen Quarzbehandlungskammern aufgrund ihrer hohen Durchlässigkeit für Strahlungswärme eine lange Zeit zum Aufheizen. Diese periodischen, langsamen Ätzzyklen verringern somit den maximalen Durchsatz der Maschine.
  • Es wurden ebenso Versuche unternommen, das Gasflußprofil parallel über den zu behandelnden Wafer zu steuern, um eine gleichförmigere Abscheidung zu erzeugen. Beispielsweise beschreibt das US-Patent Nr. 5,221,556 ein System, in welchem die Öffnungen durch einen Gaseinlaßverteiler in der Größe variieren, um zu ermöglichen, daß durch einen Abschnitt, typischerweise durch den mittleren Abschnitt, mehr Gas strömt als durch die anderen Abschnitte. Das US-Patent Nr. 5,269,847 beinhaltet Ventile für das Einstellen von Paaren von Gasflüssen, die in eine Anzahl von unabhängigen Strömen münden, die in seitlicher Richtung, aufstromig des zu behandelnden Wafers, verteilt sind. Dieses System betont die Wichtigkeit der getrennten Kanalbildung der verschiedenen Gasströme gerade bis vor die Vorderkante des Wafers, um ein vorzeitiges Mischen zu verhindern und eine stärkere Steuerung über die Fluß- und die Konzentrationsprofile des Reaktionspartners und der Trägergase über dem Wafer zu ermöglichen.
  • Ein anderes Problem, welches im Stand der Technik nicht ausreichend angesprochen wurde, ist das Problem der Rückführung des Prozeßgases in parallelen Flußreaktoren. Genauer gesagt, nachdem das Gas parallel über den Wafer und den Suszeptor geströmt ist, kann es Temperaturgradienten zwischen dem heißen Suszeptor und den kälteren Kammerwänden ausgesetzt sein. Dies kann zu Rückführungen führen, wenn das Gas zu den Wänden ansteigt und im folgenden gekühlt wird. Auch kann der Gasfluß in der Nähe einer Auslaßleitung eingeschränkt sein, was Turbulenzen und Rückführungen erzeugen kann. Rückführungen von jeder Quelle können aufstromig wandern, um die Gleichförmigkeit des Flusses in dem Waferbereich zu beeinflussen, wodurch die Gleichförmigkeit der Filmabscheidung reduziert wird.
  • Zusätzlich ist der Temperaturgradient über dem Wafer von der führenden Kante zu der hinteren Kante nicht gleichmäßig. Das heißt, die Temperatur des Gases wird primär durch seine Nähe zu dem wärmeabsorbierenden Suszeptor unterhalb des Wafers bestimmt. Wenn sich das Gas dem Suszeptor nähert und über diesen hinwegläuft, heizt es sich ziemlich schnell auf eine Maximaltemperatur zu der abstromigen Kante des Suszeptors auf und fällt dann, nachdem es diesen Punkt absolviert hat, ab. Dieses Temperaturungleichgewicht kann die gleichförmige Filmabscheidung weiter negativ beeinflussen.
  • Es besteht der Bedarf nach einer verbesserten Kammer für die Zwecke der chemischen Dampfabscheidung und für andere Verfahren bei hohen Temperaturen, die aus Quarz oder ähnlichen Materialien hergestellt werden kann und dennoch den Belastungen widersteht, die mit Verfahren bei reduziertem Druck verbunden sind. Es besteht ebenso ein Bedarf für eine gleichmäßige Temperatur- und Fließumgebung, welche den Wafer umgibt, um auf diesem eine gleichförmige Abscheidung sicherzustellen. Ebenso wird ein stärker reagierendes Flußsteuersystem benötigt. Schließlich besteht der Bedarf nach einem energieeffizienteren chemischen Dampfabscheidungssystem mit höherem Durchsatz.
  • Zusammenfassung der Erfindung
  • Demgemäß ist ein erster Aspekt der vorliegenden Erfindung auf eine Vorrichtung für die chemische Dampfabscheidung gerichtet, die aufweist:
    Wände, die eine Abscheidungskammer definieren mit einem Einlaß für Abscheidungsgas an einem aufstromigen Ende und einem Gasauslaß an einem abstromigen Ende,
    einen Suszeptor, der horizontal in der Kammer zwischen dem Gaseinlaß und dem Gasauslaß positioniert ist, für das Aufnehmen eines Halbleitersubstrats für die Zwecke der Dampfabscheidung und
    eine horizontal orientierte Platte, die in der Kammer zwischen dem Suszeptor und dem Gasauslaß positioniert ist, wobei sich die Platte entlang der Kammer über die Breite des Suszeptors erstreckt, wobei die Kammerwand neben der Platte im allgemeinen transparent gegenüber Strahlungsenergie ist und die Platte ein guter Absorber von Strahlungsenergie ist, so daß nicht verwendetes Abscheidungsgas sich leichter auf der Platte als auf der Kammerwand abscheidet.
  • Ein zweiter Aspekt der vorliegenden Erfindung ist auf ein Verfahren gerichtet für die Verwendung in einer Dampfabscheidungsvorrichtung einschließlich einer Abscheidungskammer mit einem Suszeptor, der darin zwischen einem Einlaß und einem Auslaß für den Gasfluß in der Kammer positioniert ist, wobei das Verfahren die Abscheidung auf Wänden der Kammer zwischen dem Suszeptor und dem Kammergasauslaß minimiert, und das Positionieren einer Platte in der Kammer zwischen dem Suszeptor und dem Gasauslaß der Kammer aufweist, wobei sich die Platte im allgemeinen parallel zu dem Suszeptor in der Hauptebene eines auf dem Suszeptor zu positionierenden Substrates erstreckt, wobei die Platte aus einem Material gebildet wird, das effizient Wärme zu einem viel ren Ausmaß absorbiert als die Wand der Kammer neben der Platte, wobei die Abscheidungsgase, die über den Suszeptor hinausströmen, sich leichter an der Platte als an der kühleren Kammerwand abscheiden.
  • Kurze Beschreibung der Figuren
  • 1 ist eine perspektivische Ansicht einer Prozeßkammer.
  • 2 ist eine Querschnittsansicht entlang der Linie 2-2 von 1.
  • 3 ist eine perspektivische Ansicht einer Hälfte der Prozeßkammer von einer vertikallongitudinalen Ebene entlang der Linie 3-3 von 1.
  • 4 ist eine Draufsicht der Kammer.
  • 5 ist eine Ansicht des Einlaßendes der Kammer.
  • 6 ist eine Ansicht des Auslaßendes der Kammer.
  • 7 ist eine Seitenansicht der Kammer.
  • 8 ist eine Querschnittsansicht, welche die Kammer, die mit einem Teil eines Waferbearbeitungssystems verbunden ist, darstellt.
  • 9 ist eine Draufsicht eines alternativen Ringes, welcher einen Suszeptor umgibt und sich innerhalb einer Öffnung einer inneren Kammerstützplatte befindet.
  • 10 ist eine Draufsicht einer Opferplatte, die innerhalb einer Öffnung in einer Kammerstützplatte positioniert ist.
  • 11 ist eine Querschnittsansicht, welche die Umgebung eines Behandlungssystems darstellt, welches in Verbindung mit einer Behandlungskammer verwendet wird.
  • 11a ist eine Querschnittsansicht der Behandlungskammer der 11.
  • 12 ist eine perspektivische Explosionsansicht einer Behandlungskammer, welche einen vergrößerten Temperaturausgleichsring und eine Opferquarzplatte enthält.
  • 12a ist eine Querschnittsansicht der Temperaturausgleichsringe.
  • 13 ist eine Draufsicht der in 12 gezeigten Opferquarzplatte.
  • 14 ist eine Querschnittsansicht durch die Opferquarzplatte der 13.
  • 15 ist eine perspektivische Ansicht einer alternativen Opferquarzplatte für die Verwendung in einer Kammer.
  • 16 ist eine Draufsicht der Opferquarzplatte der 15.
  • 17 ist eine Querschnittsansicht durch die Opferquarzplatte der 16.
  • 18a ist eine Draufsicht entlang der Linie 18-18 der 11 unter Veranschaulichung der Opferquarzplatte der 13, welche in einer Kammer angeordnet ist.
  • 18b ist eine Draufsicht unter Veranschaulichung der eingebauten Opferquarzplatte der 15.
  • 18c ist eine Draufsicht unter Veranschaulichung eines Paares diskreter Opferquarzplatten an aufstromigen Ecken der Kammerstützplattenöffnung.
  • 19 ist eine Seitenansicht einer der in 18c gezeigten diskreten Opferquarzplatten.
  • 20 ist eine Bodenansicht der diskreten Opferquarzplatte der 19.
  • 21 ist eine vertikale Querschnittsansicht eines Gasinjektors mit mehreren abgestimmten Öffnungen für die Verwendung in einer Kammer.
  • 21a ist ein detaillierter Querschnitt eines Teils des Gasinjektors unter Veranschaulichung der Behandlungskammern des Gasflusses.
  • 22 ist eine rückwärtige Seitenansicht des Gasinjektors der 21, der von der Behandlungskammer abmontiert gezeigt ist, und unter Veranschaulichung der inneren Gasflußkanäle.
  • 23 ist eine vordere Seitenansicht einer rückwärtigen Flanschhälfte des Gasinjektors entlang der Linie 23-23 der 21.
  • 24 ist ein horizontaler Querschnitt des Gasinjektors entlang der Linie 24-24 der 21 unter Veranschaulichung eines Bereiches, in welchem behandeltes Gas expandiert wird.
  • 25 ist eine vertikale Querschnittsansicht durch die rückwärtige Flanschhälfte entlang der Linie 25-25 der 21 unter Veranschaulichung innerer Fließmittelkühlkanäle.
  • 26 ist eine vergrößerte Querschnittsansicht eines Nadelventils, welches in einem Gasinjektor verwendet wird.
  • 27 ist eine Querschnittsansicht einer Behandlungskammer mit einem modifizierten Temperaturausgleichsring, welcher einen Suszeptor umgibt und sich abstromig von dem Suszeptor und dem zu behandelnden Wafer erstreckt.
  • 28a ist ein schematischer vertikaler Querschnitt durch eine Behandlungskammer ähnlich der in 27 gezeigten, unter Veranschaulichung eines abstromigen Aufbaus bezüglich des Suszeptors und des Wafers gemäß einer Ausführungsform der Erfindung.
  • 28b ist eine Draufsicht der 28a.
  • 29a ist ein schematischer, vertikaler Querschnitt einer Behandlungskammer ohne den abstromigen Aufbau unter Veranschaulichung eines Strahlungswärmeflusses von äußeren Lampen.
  • 29b ist ähnlich der 29a, wobei der abstromige Aufbau hinzugefügt ist, unter Veranschaulichung der Veränderung des Strahlungswärmeflusses innerhalb der Kammer.
  • 30a ist ein schematischer, horizontaler Querschnitt einer Behandlungskammer ohne den abstromigen Aufbau, wobei Pfeile eine typische Temperaturverteilung über einen zu behandelnden Wafer zeigen.
  • 30b ist ähnlich der 30a, wobei der abstromige Aufbau zugefügt ist, unter Veranschaulichung der Veränderung der Temperaturverteilung über den zu behandelnden Wafer.
  • 31a ist ein schematischer, horizontaler Querschnitt einer Behandlungskammer ohne den abstromigen Aufbau unter Veranschaulichung typischer Depositionsbereiche innerhalb der Kammer.
  • 31b ist ähnlich der 31a, wobei der abstromige Aufbau zugefügt ist, unter Veranschaulichung der Veränderung der Depositionsbereiche.
  • Die 32a und 32b sind horizontale bzw. vertikale schematische Ansichten einer Behandlungskammer ohne den abstromigen Aufbau unter Veranschaulichung potentieller Gasrückführungen in dieser.
  • Die 33a und 33b sind horizontale bzw. vertikale schematische Ansichten ähnlich denen der 32a, 32b, wobei der abstromige Aufbau zugefügt ist, unter Veranschaulichung der Veränderung des dort hindurchgehenden Gasflusses.
  • 34 ist eine Querschnittsansicht einer Behandlungskammer mit einem Aufbau abstromig von einem Temperaturausgleichsring.
  • 35 ist eine Querschnittsansicht der Behandlungskammer der 34 mit einem Temperaturausgleichsring, der sich abstromig erstreckt.
  • 36 ist eine Querschnittsansicht unter Veranschaulichung eines aufstromigen Teils einer Behandlungskammer mit einem Fließsteuerkanal.
  • 37 ist eine Querschnittsansicht durch den Fließsteuerkanal der 36, gegen einen Einlaßflansch der Kammer gesehen.
  • 38a ist eine Draufsicht der Kammer und des Fließsteuerkanals der 36.
  • Die 38b–d sind Draufsichten der Kammer der 36 unter Veranschaulichung verschiedener Fließsteuerkanäle.
  • Ausführliche Beschreibung
  • Linsenförmige Behandlungskammer
  • Unter Bezugnahme auf die 1 bis 11 ist ein Reaktorbehälter oder -kammer 10 für die chemische Abscheidungsbehandlung und dergleichen dargestellt. Wie man sehen kann, hat die Kammer 10 einen verlängerten, im allgemeinen flach gemachten Aufbau, der im Querschnitt eine im allgemeinen linsenförmige Gestalt hat. Eine linsenförmige Gestalt hat entgegengesetzte bikonvexe Oberflächen, die kreisrunde Krümmungen haben können. Die Kammer hat eine obere Wand 12 mit einer äußeren konvexen Oberfläche und einer inneren konkaven Oberfläche und eine untere Wand 14 mit einer äußeren konvexen Oberfläche und einer inneren konkaven Oberfläche. Die Wände 12 und 14 sind durch vertikale, kurze Seitenschienen 16 und 18 verbunden. Diese Wände und Seitenschienen sind ferner durch einen aufstromigen Einlaßendflansch 20 und einen abstromigen Auslaßendflansch 22 verbunden. Aufstromig und abstromig bezieht sich auf die Richtung des Behandlungsgasflusses, wie beschrieben werden wird, und sie sind gleichbedeutend mit vorn und hinten in der vorliegenden Beschreibung.
  • Die Kammerhöhe ist kleiner als die Kammerbreite. Diesbezüglich erstreckt sich eine Längsrichtung für die Kammer 10 von dem Einlaßendflansch 20 zu dem Auslaßendflansch 22 oder entlang der strichpunktierten Linie 3-3. Eine seitliche Richtung erstreckt sich zwischen den kurzen Seitenschienen 16 und 18 oder entlang der strichpunktierten Linie 2-2. Die Höhenrichtung ist senkrecht sowohl zu den Längs- als auch den Querachsen. Mit Blick auf die Endansicht der 2 hat die linsenförmige Kammer 10 eine größere Abmessung, welche sich zwischen den Seitenschienen 16 und 18 erstreckt, und eine geringere Abmessung, welche sich zwischen den Scheiteln der oberen und unteren Wände 12, 14 erstreckt.
  • Unter Bezugnahme auf 4 sind sowohl die obere Wand 12 als auch die untere Wand 14 dünne, gekrümmte, plattenähnliche Elemente mit einem rechteckigen, flachen, vertikalen Vorsprung.
  • Die Wände 12 und 14 haben wünschenswert einen kreisrunden Krümmungsradius und können aus Segmenten gebildet sein, die aus einem zylindrischen, aus Quarz oder ähnlichem Material hergestellten Rohr geschnitten sind. In größeren Kammern können die Wände 12 und 14 durch Erhitzen und Formen flacher Quarzplatten aufgebaut sein. Ungewünschte Belastungen sind in gekrümmten Wänden mit variierenden Radien berücksichtigt, und somit ist eine kreisrunde Wand mit einer konstanten Krümmung das optimale Modell. In einer Ausführungsform haben die obere Wand 12 und die untere Wand 14 einen Krümmungsradius von nahezu 24 Inch und eine Dicke von zwischen 4 und 6 Millimetern, wobei die Wanddicke vorzugsweise nahezu 5 Millimeter hat. Obwohl Quarz bevorzugt wird, können andere Materialien mit ähnlichen wünschenswerten Merkmalen als Ersatz verwendet werden. Einige dieser wünschenswerten Merkmale beinhalten einen hohen Schmelzpunkt, die Fähigkeit, großen und schnellen Temperaturveränderungen zu widerstehen, chemische Inertheit und hohe Transparenz für Licht.
  • Die dicken Seitenschienen 16, 18 können aus einer Quarzstange mit rechteckigem Querschnitt maschinell bearbeitet oder anderweitig in die in 2 dargestellte Querschnittsgestalt gebildet sein. Genauer weist jede Seitenschiene 16, 18 einen verstärkten Hauptkörper mit einer oberen Oberfläche 24, welche eine Fortsetzung der gekrümmten äußeren Oberfläche der oberen Wand 12 bildet, und eine untere Oberfläche 26 auf, die so gekrümmt ist, daß eine Fortsetzung der äußeren Oberfläche der unteren Wand 14 gebildet wird. Die seitliche, äußere Oberfläche 28 jeder Seitenschiene 16, 18 ist flach und erstreckt sich vertikal. Die innere Oberfläche jeder Seitenschiene 16, 18 ist mit sich längs erstreckenden oberen und unteren Ausnehmungen 30a, 30b gebildet, die obere, mittlere bzw. untere Stumpfwandsegmente 32a, 32b, 32c bilden. Die oberen und unteren Stumpfwandsegmente 32a, 32c passen mit den Seitenkanten der oberen und unteren Wände 12 und 14 an länglichen Schweißpunkten 39 zusammen. In einer Ausführungsform hat der Hauptkörper der Seitenschienen 16, 18 eine Dicken- oder Breitenabmessung von ungefähr 20 mm und eine Höhe von ungefähr 21 mm.
  • Innerer Kammerträger
  • Es ist ein Träger oder Halter vorzugsweise in der Form einer flachen, rechteckigen Platte 40 vorgesehen, die sich zwischen den Seitenschienen 16 und 18 erstreckt. Wie man in 3 sieht, weist die Trägerplatte 40 eine Öffnung 42 auf, welche einen Hohlraum oder Öffnung 44 begrenzt, der sich quer durch die Breite der Kammer 10 erstreckt und die Stützplatte in einen Einlaßabschnitt 46a und einen Auslaßabschnitt 46b teilt. Der Einlaßabschnitt 46a erstreckt sich von dem Einlaßflansch 20 zu einer aufstromigen Kante der Öffnung 44, und der Auslaßabschnitt 46b erstreckt sich von einer abstromigen Kante der Öffnung 44 zu dem Auslaßflansch 22. Wie man aus 4 sehen kann, ist der Einlaßabschnitt 46a der Trägerplatte in der Längsrichtung kürzer als der Auslaßabschnitt 46b. Genauer hat in einer bevorzugten Anordnung der Einlaßabschnitt ungefähr 70% der Länge des Auslaßabschnittes. Diese proportionale Anordnung betrifft eher den Behandlungsgasfluß durch die Kammer als die Widerstandsmerkmale der Kammerwände.
  • Wie man am besten in 2 sieht, weist jede der Seitenschienen 16 und 18 die sich nach innen erstreckende zentrale Stumpfwand 32a auf, die tatsächlich eine Ausdehnung der Trägerplatte 40 bildet. Diesbezüglich endet in der Praxis die Trägerlatte 40 an dem Hauptkörper der Seitenschienen 16, 18 oder mit anderen Worten an der seitlichen äußeren Ausdehnung der Ausnehmungen 30a, 30b. Längsverbindungen 48 zeigen die Schweißverbindung zwischen den Seitenkanten der Trägerplatte 40 und den zentralen Stumpfwänden 32b jeder der Seitenschienen 16 und 18 an.
  • Die zentralen Stumpfwände 32b halbieren genau die oberen und unteren Wände 12 und 14, und die Trägerplatte 40 liegt somit auf der exakten Mittellinie oder Mittelebene dazwischen. Diese wünschenswerte Lage erzeugt Belastungen nur innerhalb der Ebene der Platte 40, welche durch seitliche Verschiebung der Seitenschienen 16, 18 auferlegt werden. Eine solche Verschiebung findet bei Behandlung mit verringertem Druck statt, da die Wände 12, 14 versuchen, sich auszuplatten und nach außen Kräfte auszuüben. Durch den Aufbau dieser Symmetrie unterliegt die Stützplatte 40 keinen bedeutenden Biege- oder Scherbeanspruchungen und kann somit größeren, normalen Gesamtbelastungen widerstehen.
  • Endflansche
  • Unter Bezugnahme auf die 1 und 3 weist jeder der Endflansche 20, 22 äußere, im allgemeinen rechteckige Platten 50 bzw. 51 mit abgeschrägten Ecken 52 und inneren linsenförmigen Ausdehnungen 54 auf. Wie man in 3 sieht, sind die inneren Ausdehnungen 54 mit der Gestalt der oberen und unteren Wände 12, 14 und der zentralen Trägerplatte 40 konform. Genauer gesagt, erstrecken sich kurze Längsteile von den Platten 50, um sich mit jedem dieser plattenähnlichen Teile zu verbinden. An jedem Ende der Kammer 10 sind krummlinige Schweißverbindungen 56 zwischen den gekrümmten oberen und unteren Wänden 12, 14 und den oberen und unteren Teilen der Ausdehnung 54 gebildet, während lineare Verbindungslinien 58 zwischen zentralen Teilen der Ausdehnung 54 und den Längsenden der Trägerplatte 40 bestimmt sind. Die Platte 50 des Einlaßflansches 20 weist eine sich seitlich erstreckende Öffnung 60 in einem oberen Teil auf, welche in einen Bereich 66 innerhalb der Kammer 10 oberhalb der Trägerplatte 40 und unterhalb der oberen Wand 12 führt. Die Platte 51 des Auslaßflansches 22 weist dagegen ein Paar von sich seitlich erstreckenden Öffnungen 62 und 64 auf. Die obere Öffnung 62 steht mit dem oberen Bereich 66 der Kammer 10, wie vorher beschrieben ist, in Verbindung, während die untere Öffnung 64 mit einem unteren Bereich 68 der Kammer 10 unterhalb der Trägerplatte 40 und oberhalb der unteren Wand 14 in Verbindung steht. Die gerundeten Ausnehmungen 30a, b in den Seitenschienen 16, 18 bestimmen seitliche Abgrenzungen der oberen und unteren Bereiche 66, 68. Wie weiter unten beschrieben werden wird, vollzieht sich die Waferbehandlung nur in dem oberen Bereich 66, wobei die Trägerplatte 40 die untere Abgrenzung der Behandlungszone bestimmt.
  • Trägerplattenöffnung
  • Die Öffnung 44 ist so bemessen, daß sie einen Suszeptor 70, wie in 8 dargestellt ist, und einen Temperaturausgleichsring 72, welcher den Suszeptor umgibt, aufnimmt. Der Suszeptor 70 kann in dem stationären Ring 72 drehen und ist von diesem vorzugsweise über einen kleinen, ringförmigen Spalt von ungefähr 0,5 bis 1,0 Millimeter im Abstand gehalten. Die Mittellinie des Ringes 72 ist schematisch in 4 durch den darin gezeigten Kreis 74 in unterbrochener Linie dargestellt. Die Form der Öffnung 42 in der den Ring 72 umgebenden Trägerplatte 40 kann auch kreisrund sein, so daß die Kanten der Öffnung 44 sich in dichter Nähe zu dem Ring befinden. Es hat sich jedoch herausgestellt, daß eine ein wenig rechteckige Öffnung 42 mit abgerundeten Ecken, wie in 4 gezeigt ist, bevorzugt wird. Die Trägerplattenabschnitte 46a, b können so geschnitten sein, daß sie diese exakten Formen schaffen; oder es können zur Erleichterung der Herstellung kurze, ein wenig dreieckige Füllabschnitte 76, in 4 gezeigt, an die Plattenabschnitte und die Kammerseitenschienen 16, 18 geschweißt werden, um den gewünschten Aufbau zu schaffen.
  • Während des Gebrauchs erzeugen Druckdifferentiale zwischen dem Inneren der Kammer 10 und dem äußeren Umgebungsdruck Belastungen sowohl in den oberen und unteren Wänden 12, 14 als auch den Seitenschienen 16, 18. Die seitlich nach innen und außen gerichtete Bewegung der Seitenschienen 16, 18 wird durch ihre feste Anbringung an die zentrale Trägerplatte 40 begrenzt. Bei Vakuumbehandlung versuchen, wie oben erwähnt, die Wände 12, 14 auszuplatten, was im allgemeinen nach außen gerichtete Kräfte auf die Seitenschienen 16, 18 vermittelt. Die Trägerplatte 40 ist unter Spannung angebracht und begrenzt nach außen gerichtetes Verschieben der Seitenschienen 16, 18. Zwischen den Einlaß- und Auslaßabschnitten 46a, b befindet sich jedoch kein Träger für die Seitenschienen 16, 18, und entlang dieses Bereiches ist etwas Verschiebung möglich, was Belastungen in den Schienen bewirkt. Finite Elementanalysen haben gezeigt, daß eine gerundete, rechteckige Öffnung 42 einer vollkommen kreisrunden Öffnung gegenüber bevorzugt wird, da die maximale Belastung in der dargestellten Platte über eine Länge der Öffnung gestreut wird, während bei einer kreisrunden Öffnung sich der größte Belastungspunkt an den Punkten auf der Platte entlang ihrer Mittellinie befinden würde. Anders gesagt, begrenzt die rechteckige Öffnung 42 zwei gegenüberliegende Längen, die sich seitlich zwischen den Seitenschienen 12, 14 erstrecken, über welche die maximalen Belastungen verteilt werden, im Gegensatz zu der Belastung an zwei gegenüberliegenden Punkten auf einer kreisrunden Öffnung.
  • Es sei bemerkt, daß der in 4 gezeigte Kreis 74 bezüglich den aufstromigen und abstromigen Enden der Kammer oder bezüglich der Öffnung 44 nicht zentral positioniert ist. Hingegen befindet sich die aufstromige oder vordere Kante des Kreises 74 dichter an der abstromigen Kante des Einlaßplattenabschnittes 46a als die abstromige oder hintere Kante des Kreises an der aufstromigen Kante des Auslaßplattenabschnittes 46b. Diese Anordnung trägt dazu bei, den Widerstand der Kammer durch Verringerung der Entglasungsrate der aufstromigen Kante des Auslaßplattenabschnittes 46b aufrecht zu erhalten. D. h., daß sich der Gasfluß aufheizt, wenn er über den Suszeptor hinweg geht, so daß die Temperatur in den Kammerwänden die Neigung hat, gerade abstromig von dem Suszeptor am größten zu sein. Die aufstromige Kante kann daher bedeutendem, thermischem Durchlaufen und Entglasung ausgesetzt sein, wenn sie sich zu dicht an dem Suszeptor befindet, und somit ist der Suszeptor nach vorn innerhalb der Öffnung 44 versetzt, um den Abstand dazwischen zu vergrößern. In einigen Aufbauten beeinflußt auch diese Versetzungsanordnung den Fluß des Behandlungsgases durch die Kammer. Genauer ist der Wafer, welcher auf dem Suszeptor angeordnet ist, der von dem Ring umgeben wird, dicht an der abstromigen Kante des Einlaßplattenabschnittes 46a positioniert, um die Menge an Reaktionsgasen zu minimieren, die durch die Öffnung 44 aufstromig zu dem Wafer hindurchgehen. Dies minimiert die Menge an Reaktionsgas, welches sich unterhalb des Suszeptors in dem unteren Teil 68 der Kammer 10 niederschlagen kann.
  • Suszeptor und verwandter Aufbau
  • Wie man aus 8 sieht, wird der Temperaturausgleichsring 72 durch drei Trägerelemente in Ellbogenform gestützt, welche sich vertikal erstreckende Teile haben, die an die Trägerplattenabschnitte geschweißt sind. Genauer ist ein vorderes Trägerelement oder Finger 80 an die Rückseite des vorderen Plattenabschnitts in der Mitte zwischen den Schienen 16, 18 der Kammer geschweißt, und der horizontale Teil des Elements erstreckt sich nach rückwärts in die Öffnung 44, um unter die vordere Kante des Temperaturausgleichsringes 72 positioniert zu werden. Ein Paar von im Abstand gehaltenen Elementen oder Fingern 82 haben verlängerte, horizontale Teile, die sich nach vorne unter die rückwärtige Kante des Ausgleichsringes 72 erstrecken, wie man in 8 sowie in den 2 bis 7 sieht. Der Ausgleichsring 72 wird so in einer horizontalen Ebene an drei Punkten durch hochstehende Stifte (nicht gezeigt) in den Fingern 80 und 82 getragen. Die Stifte können gegebenenfalls durch wiederholtes thermisches Durchlaufen und dem Ausgesetztsein gegenüber Behandlungs- und Ätzgasen zerstört werden, aber sie können ziemlich leicht ersetzt werden.
  • Ein Suszeptor 84 wird gezeigt, der auf Armen 86 eines geeigneten Trägers 88 gestützt ist, welcher mit dem oberen Ende eines drehbaren Schaftes 90 verbunden ist, der sich durch ein Rohr 92 erstreckt, das von der Bodenwand der Kammer abhängt. Der Suszeptor 84 ist fast auf der Höhe der oberen Kante des Ringes 72 und der oberen Oberfläche der Trägerplatte 40 gezeigt. Dies ermöglicht es, einen Wafer über dem Suszeptor 84 und in dem oberen Teil 66 der Behandlungskammer 10 zu positionieren.
  • Bezieht man sich weiter auf 8, so ist der Einlaßflansch 20 geeignet, mit einem Einlaßbestandteil 94 verbunden zu werden, der einen horizontal verlängerten Schlitz 96 hat, durch welchen ein Wafer eingeführt werden kann, und einen verlängerten Einlaß 98 zum Einführen von Behandlungsgas in den oberen Teil 66 der Kammer, nachdem ein Isolationsventil, das von dem Schlitz 96 zu einer Waferbehandlungskammer (nicht gezeigt) führt, geschlossen wurde. Entsprechend ist der Auslaßflansch 22 geeignet, mit einem Auslaßbestandteil 100 zum Auslassen von Behandlungsgas aus der Kammer 10 zusammenzupassen, als auch ein Vakuum in der Kammer aufzubringen. Wie man aus 8 sehen kann, ist der Auslaßflansch 22 zu dem unteren Teil 68 der Kammer unterhalb der Trägerplatte ebenso wie der Teil 66 über der Trägerplatte offen.
  • Eine Vielzahl von Thermoelementen 102 erstreckt sich durch den Auslaßbestandteil 100 und in den unteren Teil 68 der Behandlungskammer 10. Die Thermoelemente 102 erstrecken sich in die Nähe des Suszeptors 84, um die örtliche Temperatur zu fühlen, welche den Suszeptor 84 und den auf diesem positionierten Wafer umgibt. Wie zuvor in dem US-Patent Nr. 4,821,674 beschrieben wurde, erlaubt das vorteilhafte Positionieren der Abfühlenden der Thermoelemente 102, welche den Suszeptor 84 umgeben, ein umfassendes Feedback bzw. Reaktion bezüglich der Temperatur des Wafers und ermöglicht die Einstellung von Strahlerheizlampen, welche die Kammer 10 umgeben, um Temperaturunregelmäßigkeiten zu kompensieren. Genauer endet ein Vorderkanten-Thermoelement 104 in der Nähe des vorderen Endes des Suszeptors 84, ein Hinterkanten-Thermoelement 106 endet in der Nähe einer rückwärtigen Kante des Suszeptors, und ein seitliches Thermoelement (nicht gezeigt) endet in der Nähe einer Seitenkante des Suszeptors. Jedes der Thermoelemente 102 tritt in den Temperaturausgleichsring 72 ein, der aus zwei Teilen gebildet ist, um in sich ein hohles Inneres zu schaffen. Dieser Ring wurde abermals zuvor in dem US-Patent Nr. 4,821,674 beschrieben. Der Temperaturausgleichsring 72 weist im allgemeinen L-förmige Innen- und Außenkörper auf, die gemeinsam einen ringförmigen Durchgang durch den Ring zur Aufnahme der Thermoelemente 102 bestimmen.
  • Vorzugsweise ist der Temperaturausgleichsring 72 aus Graphit oder einem anderen Material mit solch hohem Wärmeabsorptionsvermögen aufgebaut. Der Ring 72 schafft mehrere Vorteile in der Behandlungsumgebung, in erster Linie die Verringerung der Kantenwärmeverluste aus dem Suszeptor 84. Genauer umschließt der Ring 72 dicht die Kante des Suszeptors 84 und wird während der Behandlung bei einer ähnlichen Temperatur aufrecht gehalten, da die Materialien ähnlich sind. Der Suszeptor und der Ring strahlen somit Wärme gegeneinander, um wirkungsvoll jegliche Strahlungsverluste zwischen sich auszuschalten. Ein anderer Vorteil des Temperaturausgleichsringes 72 besteht im Vorerwärmen und Nacherwärmen des Behandlungsgases in dem Bereich des Wafers. Speziell tritt das Behandlungsgas bzw. reagierende Gas in die Kammer bei einer nicht reagierenden Umgebungstemperatur ein und wird auf eine für die Deposition geeignete Temperatur erhitzt, wenn es über den Suszeptor und den Wafer hinweggeht. Der umgebende Temperaturausgleichsring 72 heizt somit den reagierenden Gasstrom vor, bevor er die vordere Kante des Suszeptors und anschließend die vordere Kante des Wafers erreicht. Das Behandlungsgas erreicht somit eine annähernd beständige Zustandstemperatur, bevor es über die Kante des Wafers läuft. Zusätzlich fällt die Temperatur des Gases nicht deutlich ab, nachdem es die Kante des Wafers passiert hat, da sich der Temperaturausgleichsring 72 zu dem abstromigen Wärmebereich erstreckt. Weitere Vorteile des Temperaturausgleichsringes 72 werden weiter unten in Bezugnahme auf modifizierte Ringe beschrieben.
  • Der Gasstrom durch die Kammer wird in 8 gezeigt. Reagierendes Gas tritt durch den Einlaßbestandteil 94 mit einem vorbestimmten seitlichen Geschwindigkeitsprofil ein, so wie das in dem US-Patent Nr. 5,221,556 beschriebene Profil. Das vorbestimmte Geschwindigkeitsprofil schafft einen größeren Gasstrom zu dem zentralen Teil der Reaktionskammer 10 hin als zu den seitlichen Außenkanten zum Ausgleich für den längeren Depositions-Laufweg über die Mitte des kreisrunden Wafers, der auf dem Suszeptor 84 getragen wird. Mit anderen Worten wird ein größerer Betrag an Reaktionsgas über den zentralen Teil des Wafers aufgrund der Reaktionsverarmung bzw. Reaktionserschöpfung entlang dem Fließweg über den Wafer benötigt. Ferner nimmt die Querschnittsform der Kammer 10, wie man am besten in 2 sieht, in der Mitte der Kammer einen größeren Gas strom auf, wo ein größerer Reaktionsgasstrom benötigt wird als an den Seitenkanten, wo ein geringerer Reaktionsgasstrom benötigt wird.
  • Das Reaktionsgas läuft längs nach ruckwärts weiter, wie durch den Pfeil 112 gezeigt ist, und tritt durch den Auslaßbestandteil 100 und nach unten durch Auslaßleitungen 114 aus, wie durch den Pfeil 116 gezeigt ist. In typischer Weise wird Entleerungsgas bzw. Reinigungsgas nach oben durch das hohle Rohr 92, welches den Schaft 90 umgibt, zugeführt, wobei das Rohr so bemessen ist, daß es einen den Schaft umgebenden Gasdurchgang schafft. Das Entleerungsgas tritt in den unteren Teil 68 der Kammer 10 ein, wie durch die Pfeile 118 gezeigt ist. Das Entleerungsgas verhindert unerwünschte Deposition von Partikeln unterhalb des Suszeptors 84 und tritt durch die untere Längsöffnung 64 in den Auslaßflansch 22 aus, wie durch den Pfeil 120 gezeigt ist. Das Entleerungsgas mischt sich dann mit dem verbrauchten Reaktionsgas und läuft weiter nach unten entlang dem Weg des Pfeils 116 durch die Auslaßleitungen 114.
  • Die Endflansche 20, 22 sind vorzugsweise durchsichtig und aus Quarz hergestellt, in welchem Stickstoffblasen dispergiert sind. Die zentralen dünnen Wände 12, 14 und die Trägerplatte 40 andererseits sind für Strahlungsenergie transparent, wobei Erhitzen durch Strahlung des Suszeptors und des Wafers in der Kammer 10 erlaubt wird, ohne hohe Temperaturen in diesen Aufbauten zu erzeugen. Die durchsichtigen Flansche 20, 22 streuen bzw. zerstreuen Strahlungsenergie, um „Licht-Leitung" dort hindurch zu verringern. Dies schützt O-Ringe 122 außerhalb der Flansche 20, 22 davor, extremen Temperaturen ausgesetzt zu werden, die innerhalb der Kammer 10 erzeugt werden. Vorzugsweise ist ein Abschnitt des Rohres 92 unterhalb der unteren Wand 14 ähnlich durchsichtig durch darin dispergierte Stickstoffblasen.
  • Wie erwähnt, besteht ein Vorteil der beschriebenen Kammer darin, daß sie mit relativ dünnen Quarzkammerwänden verringertem innerem Druck widerstehen kann. Zum Beispiel können für eine Kammer, die für die Aufnahme eines Wafers von 8-Inch Durchmesser (nahezu 200 Millimeter) gestaltet ist, die oberen und unteren Wände 12, 14 eine Wanddicke von nur ungefähr 5 Millimetern erfordern, wobei die Trägerplattendicke ungefähr 10 Millimeter beträgt. Die Kammerlänge zwischen den Endflanschen beträgt ungefähr 600 Millimeter, wobei die Kammerbreite ungefähr 325 Millimeter und die Höhe der Endflansche ungefähr 115 Millimeter beträgt. Die Abmessungen der Kammer werden natürlich für größer bemessene Wafer verändert. Zum Beispiel ist die Kammer der vorliegenden Erfindung für Behandlungswafer mit einem Durchmesser von 200 Millimetern, 300 Millimetern oder sogar größer geeignet. Vorzugsweise bleibt die relative Querschnittsabmessung dieselbe, und somit hat eine breitere Kammer, die Wafer von 300 Millimeter aufnimmt, eine größere Höhe. Die größere Höhe in der Kammer für Wafer von 300 Millimetern erfordert bestimmte Veränderungen für andere Untersysteme, wie zum Beispiel die Heizstrahlerlampen, die um die Kammer zum Erhitzen des Suszeptors und des Wafers angeordnet sind. Kurz gesagt, obwohl die Umgebung zum Behandeln von Wafern mit 200 und 300 Millimeter Durchmesser notwendigerweise in bestimmter Hinsicht verschieden ist, fallen diese Unterschiede in die Praxis des Fachmannes für den Aufbau und den Betrieb von Behandlungskammern bzw. der Behandlungskammer.
  • Die besonderen Abmessungen sind selbstverständlich nur als Beispiel dafür gegeben, daß Kammern verschiedener Formen und Abmessungen mit einer Trägerplatte verwendet werden können, die sich zwischen Seitenwänden oder entlang der längeren Abmessung des vertikal-seitlichen Querschnittes der Kammer erstreckt. Eine Verallgemeinerung, die getroffen werden kann, besteht darin, daß die gesamte Kammerbreite vorzugsweise nahezu das Dreifache der Kammerhöhe beträgt. Das bedeutet, daß der obere Bereich 66 ein Verhältnis von Breite zu Höhe an der seitlichen Mittellinie von nahezu sechs hat. In der vorliegenden Ausführungsform können, wie oben erwähnt, die Wände 12 und 14 eine konstante Krümmung mit einem Radius von ungefähr 60,96 cm (24 Inch) haben. Es sei bemerkt, daß wenn das Verhältnis von Breite zu Höhe wesentlich anwächst, sich die oberen und unteren Wände 12 und 14 ausplatten und Biegungsbeanspruchung weniger ohne Schaden überstehen können, wenn sie einem inneren Vakuum unterworfen werden. Wenn andererseits dieses Verhältnis wesentlich abnimmt, wird die Krümmung der Wände 12 und 14 ausgeprägter, und die Heizlampen müssen weiter von dem Wafer und dem Suszeptor 84 im Abstand gehalten werden, wodurch die Steuerung der Wärmeverteilung um den Wafer verringert wird. Die durch die Trägerplatte 40 erfahrene Zugbeanspruchung erhöht sich, wenn sich das Verhältnis von Breite zu Höhe erhöht und sich die Kammer ausplattet. Bei einem konstanten Kammervakuum erhöht sich die durch die Trägerplatte 40 erfahrene Zugbeanspruchung um einen größeren Betrag als das Anwachsen bzw. Größerwerden in dem Verhältnis von Breite zu Höhe der Kammer. D. h., wenn man zum Beispiel von einer zylindrischen Kammerform mit einem Verhältnis von Breite zu Höhe an der seitlichen Mittellinie von 2 : 1 im oberen Bereich 66 ausgeht, ergibt sich eine Verdopplung dieses Verhältnisses auf 4 : 1 in einem mehr als doppelten Anwachsen in der auf die Trägerplatte 40 aufgebrachten Beanspruchung. Jegliche durch die Trägerplatte 40 absorbierte Zugbeanspruchungen entlasten notwendigerweise Biegebelastungen nach innen, die ansonsten auf die gekrümmten Kammerwände 12 und 14 aufgebracht würden. Somit kann ein optimales Verhältnis von Breite zu Höhe der Kammer bestimmt werden, was niedrige Druckbehandlung mit einem Kammerquerschnitt von verhältnismäßig geringem Profil ermöglicht.
  • Die Kammer 10 kann auch wünschenswert in bestehende Systeme unter Verwendung von Kammern mit rechteckigem Querschnitt umgerüstet werden, wobei ferner die Notwendigkeit einer niedrigen, weiteren Form wächst. Die Kammerform ermöglicht es vorteilhaft, den Wafer von einem Ende einzuführen, und ermöglicht das Einführen von Ersatzsuszeptoren und -ringen von dem anderen Ende der Kammer. Eine solche Anordnung ermöglicht es auch, den Gasstrom bequem von einem Ende zum anderen durch die Kammer einzuführen, wobei das Gas über die innere Trägerplatte fließt, die nahezu mit dem in der Kammer zu behandelnden Wafer ausgerichtet ist.
  • In einer alternativen in 9 gezeigten Anordnung ist ein modifizierter Temperaturausgleichsring 72' nach außen gebildet, um dicht mit der gerundeten, rechteckigen Öffnung 42 in der Trägerplatte 40 zusammenzupassen. Der Ring 72' dichtet somit im wesentlichen den oberen Teil 66 und den unteren Teil 68 der Reaktionskammer 10 voneinander ab. Auf diese Weise kann Reaktionsgas in dem oberen Teil 66 nicht durch zwischen dem Ring 72' und der Öffnung 42 gebildete Spalte hindurchgehen, was möglicherweise den gleichförmigen Strom über den Wafer unterbrechen würde.
  • In einer weiteren in 10 gezeigten Anordnung ist eine Opferquarzplatte 124 vorgesehen, welche den kreisförmigen Ring 72 umgibt. Die Opferplatte 124 hat einen Innendurchmesser, der dicht zu dem Außendurchmesser des Ringes 72 paßt, und eine äußere Form, die zu der gerundeten, rechteckigen Öffnung 42 paßt und wünschenswert gegen diese anstößt. Auf diese Weise werden die Kanten der Öffnung 42 vor Entglasung aufgrund wiederholten Erhitzens der Reaktionskammer 10 geschützt. Somit kann die Opferplatte 124 ersetzt werden, wenn sie durch wiederholte Erwärmungszyklen entglast ist, während die kostspieligere und dauerhaft befestigte Trägerplatte 40 erhalten bleibt. In der Praxis ist die Platte 124 dicht in die Öffnung 42 passend mit einem Minimum an Spiel dazwischen gestaltet, aber aufgrund Herstellungstoleranzen, welche es ermöglichen, die Platte innerhalb der Öffnung einzupassen, ist ein Kontaktsitz nicht möglich. Die Platte 124 wird vorzugsweise durch modifizierte Finger 80, 82 oder durch getrennte Stützelemente (nicht gezeigt) getragen, die an der Trägerplatte 40 befestigt sind.
  • Aufbauverfahren der Behandlungskammer
  • Der Aufbau der Quarzkammer wird nun erklärt. Die Reaktionskammer 10 ist in einer bevorzugten Folge hergestellt, um genaue Abmessungen sicherzustellen und die inneren Belastungen innerhalb der Komponententeile zu minimieren. Genauer wird die Reaktionskammer 10 zuerst durch Schweißen der zwei Seitenschienen 16 und 18 an die Endflansche 20 und 30 hergestellt. Wie zuvor bezüglich der 3 beschrieben wurde, haben die Flansche 20 und 22 jeder nach innen gerichtete Ausdehnungen 54, die zu der Form der Seitenschienen 16 und 18 passen. Anschließend an die Verbindung der Seitenschienen und Endflansche wird der Aufbau bei einer erhöhten Temperatur geglüht, um innere Belastungen zu verringern. Die mittlere Trägerplatte 40 wird dann innerhalb der rechteckigen Form durch die zentrale Stumpfplatte 32b der Seitenschienen 16, 18 und die zentralen, nach innen gerichteten Ausdehnungen der Endflansche 20 und 22 gebildet. Nach dem Schweißen der Trägerplatte 40 wird der gesamte Aufbau noch einmal geglüht, um innere Spannungen zu verringern. Die Stützelemente 80 und 82 werden dann an die Unterseite der Trägerplatte 40 in geeigneten Positionen geschweißt. Die Eckenfüller 76 werden an der Verwendungsstelle geschweißt, um die gerundete, rechteckige Öffnung 42 zu bestimmen. Alles Scharte der Kanten innerhalb der Kammer 10 wird dann abgeschliffen, um gerundete Kanten zu glätten. An diesem Punkt werden die oberen und unteren Wände 12 und 14 sowohl an die Seitenschienen 16 und 18 als auch an die Endflansche 20 und 22 geschweißt. Der Aufbau wird erneut geglüht, um innere Spannungen zu verringern. Das Rohr 92 wird dann an der Verwendungsstelle koaxial mit einer runden, in der unteren Wand 14 gebildeten Öffnung geschweißt.
  • Der Aufbau wird dann bei einer erhöhten Temperatur feuerpoliert, um die Quarzoberfläche zu veranlassen, leicht zu schmelzen und die durch Schleif- und Schweißtätigkeiten gebildete Oberfläche zu glätten. Das Feuerpolieren ist ein wesentlicher Schritt bei der Bildung der Kammer 10, um die Kammer für folgende Herstellungsschritte und für den Gebrauch zu festigen. Schließlich wird der gesamte Aufbau geglüht, um jegliche verbleibende innere Spannungen zu verringern. Fachleute wissen, daß die Herstellung der Quarzkammer eine komplexe und heikle Tätigkeit ist und als kunstvoll betrachtet wird. Somit soll das oben beschriebene Verfahren eine spezielle Konstruktionsart darlegen, und andere könnten folgen.
  • Es können ernsthafte innere Spannungen durch lokales Schweißen erzeugt werden. Um die Spannungen zu minimieren, ist die gesamte Kammer vorzugsweise in einen Ofen mit hoher Temperatur eingeführt und darin befestigt. Die Sequenz der Schritte ist wie folgt. Die Elemente der Behandlungskammer sind innerhalb eines großen Ofens mit einer großen Türöffnung positioniert, durch welche ein Arbeiter die Kammerkomponenten erreichen und handhaben kann. Der Ofen wird zuerst abgedichtet und auf eine erhöhte Temperatur von vorzugsweise nahezu 900°C erhitzt. Ist die spezielle Temperatur erreicht und befinden sich alle Quarzkomponenten darin bei dieser Temperatur, wird die große Türöffnung geöffnet, um dem Schweißer den Zugang zu erlauben. Eine Anzahl von Wärmeschirmen oder Ablenkblechen sind rund um die Kammer zwischen den heißen Quarzstücken und der Türöffnung positioniert, um die Strahlungshitze durch die Türöffnung zu verringern. Die Schirme sind somit angeordnet, um dem Schweißer den Zugang zu einem örtlich festgelegten Teil der Kammer, der geschweißt werden soll, zu erlauben und um Hitze, die von den diesen Bereich umgebenden heißen Quarzkomponenten ausgestrahlt wird, zu minimieren. Der Schweißer ist angemessen mit schweren Schweißhandschuhen, einem reflektierenden Anzug und Helm bzw. Maske bekleidet. Nachdem die Türöffnung des Ofens offen ist, verringert sich die Temperatur darin auf ungefähr 500–700°C. Es kann gegebenenfalls zusätzliches, lokalisiertes Erwärmen notwendig sein, um den Wärmeverlust durch die offene Tür zu ergänzen. Die zusätzliche Wärme kann zum Beispiel mit einer Lötlampe, entweder fokussiert oder gestreut, geschaffen werden. Die zuvor erwähnte Schweißfolge wird dann innerhalb des Ofens durchgeführt, wobei die Tür des Ofens geschlossen wird und die Kammerteile periodisch wieder erwärmt werden, wenn zuviel Wärme verloren ging. Dieses Verfahren verringert erheblich die inneren Spannungen innerhalb der Quarzstücke, indem große Temperaturgradienten in ihnen bzw. darin vermieden werden.
  • Behandlungssystem
  • 11 zeigt eine Anordnung von Komponenten, die eine modifizierte Reaktionskammer 130 umgeben, um höchst gleichförmiges CVD-Verfahren zu erzeugen. Vor der Beschreibung der Einzelheiten der modifizierten Kammer 130 wird die Behandlungsumgebung beschrieben. Die Kammer 130 weist eine innere Trägerplatte 132 auf, die ähnlich der zuvor beschriebenen inneren Trägerplatte 40 ist, und weist somit eine Öffnung 133 auf, die darin so abgemessen geformt ist, daß sie einen Suszeptor zum Tragen eines Halbleiterwafers aufnimmt. Die Trägerplatte 132 ist in einen vorderen Abschnitt 135a aufstromig von der Öffnung 133 und in einen rückwärtigen Abschnitt 135b abstromig von der Öffnung 133 geteilt. Ein Suszeptor 134 ist auf einer Vielzahl von sich radial erstreckenden Armen 136 einer zentralen Nabe 138 positioniert, die auf einem hohlen Schaft 140 befestigt ist. Der Schaft 140 wird seinerseits durch einen Motor 142 gedreht, der unterhalb der Kammer 130 angeordnet ist. Die Rotationskupplung zwischen dem Motor 142 und dem Schaft 140 wird genau in dem US-Patent Nr. 4,821,674 beschrieben. Der Motor 142 ist vorzugsweise auf einem festen Rahmen befestigt und weist Einstellmechanismen zum Positionieren des Suszeptors 134 innerhalb der Kammer 130 auf.
  • Ein Wafer 144 ist auf dem Suszeptor ruhend in 11a gezeigt. Eine Vielzahl von Heizstrahlerlampen ist um die Reaktionskammer 130 herum angeordnet, um den Suszeptor 134 und den darauf befindlichen Wafer 144 zu erwärmen. Eine erste Reihe von oberen Lampen 146 erstreckt sich längs bezüglich der Kammer 130. Eine zweite untere Reihe von Lampen 148 erstreckt sich seitlich bezüglich der Kammer 130. Die Verteilung der oberen Reihe der Lampen 146 ist ungehindert, so daß eine regelmäßige Folge von Lampen über die seitliche Ausdehnung der Kammer 130 vorgesehen ist. Die untere Reihe von Lampen 114 ist andererseits auf beiden Seiten des Schaftes 140 vorgesehen, sie ist aber in dem den Schaft umgebenden Bereich unterbrochen. Ein oder mehrere Spotlights bzw. Scheinwerfer oder gerichtete Lampen 150 sind unter der Kammer 130 positioniert und umgeben ein nach unten hängendes Quarzrohr 152, das einstückig mit der Kammer 130 gebildet ist. Das Rohr 152 nimmt konzentrisch den Schaft 140 auf. Das Rohr 152 und der Schaft 140 bilden einen ringförmigen Raum zwischen sich, der dazu verwendet wird, ein Entleerungs- bzw. Reinigungsgas in einen Bereich unterhalb des Suszeptors 134 einzuspritzen. Der Reinigungsgasstrom wird durch die Pfeile 154 in 11a gezeigt. Die gerichteten Lampen 150 strahlen Energie zu der Unterseite des Suszeptors 143, der durch den Schaft 152 und den Trägeraufbau beschattet sein kann. Die spezielle Heizanordnung ist ähnlich der in dem US-Patent Nr. 4,836,138 beschriebenen und dargestellten.
  • Die oberen und unteren Reihen von Lampen 146, 148 sind in einer im allgemeinen rechteckigen Konfiguration oberhalb bzw. unterhalb des Suszeptorbereiches 134 verteilt. Diese Anordnung fokussiert in Kombination mit den gerichteten Lampen 150 die Strahlungsenergie auf dem Suszeptor 134 und dem zugeordneten Wafer 144. Zusätzlich verbessert die senkrechte Ausrichtung der oberen und unteren Reihen 146, 148 ferner die Erwärmungsgleichförmigkeit des Suszeptors 134. In den 11 und 11a ist ein vergrößerter Temperaturausgleichsring 155 gezeigt, und sein spezieller Aufbau wird weiter unten in größerer Einzelheit beschrieben. Es sei jedoch bemerkt, daß die Umfangsgestalt des modifizierten Temperaturausgleichsrings 155 im allgemeinen rechteckig ist und zu den hervorspringenden Strahlungshitzesäulen der oberen und unteren Reihen von Lampen 146, 148 paßt. Diese Anordnung ist äußerst wirksam und resultiert in einer gleichförmigeren Temperatur über den Suszeptor 134.
  • Ein Gasinjektor 156 ist aufstromig von der Behandlungskammer 130 positioniert und weist eine Vielzahl von Reaktionsgasstrom-Nadelventilen 158 zum Messen des Reaktionsgases in der Kammer durch mehrere Öffnungen auf. Reaktionsgas wird durch den Injektor 156 gemessen und läuft danach durch eine Einlaßöffnung 160, welche in einen oberen Bereich 162 der Behandlungskammer 130 führt. Der Reaktionsgasstrom wird durch den Pfeil 164 in 11a gezeigt. Das Gas strömt über den Suszeptor 134 und den Wafer 144, über die innere Trägerplatte 132 und tritt durch eine Auslaßöffnung 166 in der Behandlungskammer 130 aus. Der Auslaßweg ist mit dem Pfeil 168 gezeigt. Zusammen mit dem zuvor beschriebenen nach oben gerichteten Reinigungsstrom 154 ist ein Längsreinigungsstrom 170 durch den Gasinjektor 156 vorgesehen. Genauer und wie weiter unten in größerer Einzelheit beschrieben wird, weist der Gasinjektor innere Kanäle auf, die sich in einen unteren Bereich 172 der Behandlungskammer 130 durch eine Reinigungseinlaßöffnung 174 öffnen. Der Reinigungsgasstrom 170 tritt in den unteren Bereich 172 ein und läuft unterhalb des Suszeptors 134 und des umgebenden Aufbaus vorbei und tritt aus dem unteren Bereich durch eine Reinigungsauslaßöffnung 176 aus, wie durch den Pfeil 178 gezeigt ist.
  • 11 zeigt einen Auslaßapparat 180, welcher sowohl den verbrauchten Reaktionsgasstrom 168 als auch den Auslaß-Entleerungsgasstrom 178 aufnimmt. Genauer nimmt eine gemeinsame Plenumkammer 182 die zuvor erwähnten Gasströme auf und leitet sie zu einer gewinkelten Auslaßleitung 184 in Verbindung mit einer Auslaßrohrverzweigung 186. Die Auslaßrohrverzweigung 186 ist an einer geeigneten Vakuumquelle befestigt. Die Plenumkammer 182 ist durch ein im allgemeinen rechteckiges Flanschteil 188 bestimmt, das vorzugsweise mit Wasser gekühlt wird, wobei innere Durchgänge durch Rohre 190 mit Kühlfluid versorgt werden. Das innere Kühlen des Flanschteils 188 hilft, Beschädigung der elastomeren Dichtung zwischen dem Flanschteil und der Behandlungskammer 130 zu verhindern.
  • Modifizierter Temperaturausgleichsring
  • Die 11, 11a und 12 zeigen den oben erwähnten Temperaturausgleichsring 155, welcher den Suszeptor 134 umgibt. Dieser Ring 155 ist in vielen Gesichtspunkten ähnlich dem zuvor erwähnten Ring 72' bezüglich der 9. D. h., der Ring 155 ist nach außen aufgebaut, um dicht zu der gerundeten, rechteckigen Öffnung 194 zu passen, die in der Trägerplatte 132 der Kammer 130 gebildet ist, er ist aber von dieser im Abstand gehalten, um Qualitätsverlust der Quarträgerplatte 132 zu verhindern. Wie in 11a gezeigt ist, weist der modifizierte Temperaturausgleichsring 155 ein unteres, ringförmiges U-förmiges Kanalteil 196 und ein oberes ebenes Teil 198 auf. Das obere Teil 198 weist eine Öffnung auf, die über dem Kanalteil 196 durch die Verwendung von einem oder mehreren integral gebildeten, nach unten hängenden Zentrierringen 199 zentriert ist, die auch kleine Vorsprünge sein können, die in einem Kreis mit rundem Muster im Abstand angeordnet sein können. Das untere Teil 196 trägt eine Vielzahl von verlängerten Thermoelementen, die sich, wie zuvor beschrieben, von einem abstromigen Ende der Kammer 130 durch die Entleerungsauslaßöffnung 176 und in den unteren Bereich 172 und den Ring 155 erstrecken. Der Ring 155 weist in seinem abstromigen Ende Öffnungen zur Aufnahme der Thermoelemente auf.
  • Vorzugsweise sind drei Thermoelemente durch den Temperaturkompensationsring 155 getragen, die alle in die Kammer aus dem abstromigen Ende durch die Entleerungsauslaßöffnung 176 eintreten. Die drei Thermoelemente erstrecken sich parallel nach vorn in die Kammer unterhalb der Trägerplatte 132 und sind in seitlicher Richtung im Abstand angeordnet. Das mittlere, in 11a gezeigte Thermoelement 199 erstreckt sich genau unterhalb der Trägerplatte 132 und endet abstromig von dem Suszeptor 134. Ein zweites Thermoelement 200 tritt in den Ring 155 ein und rollt sich um einen kreisrunden Weg, der durch das Kanalteil 196 bestimmt ist, um an der vorderen Kantenposition zu enden, wie in 11a gezeigt ist, an der vorderen Kante des Ringes. Ein drittes Thermoelement (nicht gezeigt) tritt auch in den Ring 155 und rollt sich halb um das Kanalteil 196 in der entgegengesetzten Richtung von dem zweiten Thermoelement 200, um bei einer Position in der Mitte zwischen den vorderen und den hinteren Kanten des Suszeptors 134 zu enden. Die Kombination der drei Thermoelemente fühlt somit die Temperatur an der vorderen Kante, der hinteren Kante und an der Seitenkante des Suszeptors 134 ab.
  • Damit die Thermoelemente in das Kanalteil 196 eintreten können, ist sein rückwärtiges Ende durch einen verlängerten L-förmigen Teil 202 gebildet. Diesem Teil fehlt eine äußere Wand, um es dem Thermoelement zu erlauben, in das Innere des Kanals einzutreten. Obwohl nicht gezeigt, kann sich der L-förmige Teil um einen Bogen herum erstrecken, der ausreichende Länge hat, um die drei eintretenden Thermoelemente aufzunehmen, oder er kann diskrete Teile an den Stellen aufweisen, durch welche jedes Thermoelement in das Kanalteil 196 geht.
  • Der obere ebene Teil 198 hat eine innere Kante, die nahezu denselben Durchmesser hat wie die innere Wand des Kanalteils 196, und paßt dicht zu der äußeren Umfangskante des Suszeptors 134, ist aber von diesem im Abstand angeordnet. Eine äußere Kante des ebenen Teils 198 erstreckt sich nach außen von der äußeren Wand des Kanalteils 196 und paßt dicht zu der gerundeten, rechteckigen Öffnung 133. Der Ring 155 ist wiederum vorzugsweise aus Graphit mit einer großen thermisch wirksamen Masse hergestellt, um dazu zu verhelfen, die Temperaturgleichförmigkeit über den Suszeptor 134 und den Wafer 144 sicherzustellen. Der Ring 155 kann jedoch aus geringerer thermischer Masse oder sogar aus Quarz hergestellt sein, so daß direkte Strahlungswärme von den Reihen der Lampen 146, 148 und 150 auf die Kante des Suszeptors 134 auftreffen kann.
  • Unter Bezugnahme auf die 11a ist ein aufstromiger Spalt 204 zwischen dem Ring 155 und dem aufstromigen Abschnitt 135a der Trägerplatte 132 bestimmt. Ähnlich ist ein abstromiger Spalt 206 zwischen der abstromigen Kante des Ringes 155 und dem abstromigen Abschnitt 135b der Trägerplatte 132 gebildet. Der aufstromige Spalt 204 ist leicht kleiner bemessen als der abstromige Spalt 206. Das Behandlungsgas, welches über den Suszeptor 134 und den Wafer 144 geht, ist zu dem Einlaßende der Kammer 130 hin verhältnismäßig kalt. Da das Behandlungsgas über den heißen Ring 155 und den Suszeptor 134 geht, wärmt es sich an der hinteren Kante des Wafers auf eine maximale Temperatur auf. Der Ring 155 wird somit in der Umgebung der Behandlungskammer an seiner abstromigen Kante einer höheren Temperatur unterworden als seine entgegengesetzte, aufstromige Kante unterworfen wird. Da das Quarz verhältnismäßig zerbrechlich ist und bei wiederholtem thermischem Zyklieren bricht, ist der Spalt 206 an der abstromigen Kante des Ringes 155 leicht größer hergestellt, um übermäßiges Erhitzen des Quarzes durch den Ring 155 an dieser Stelle zu verhindern. Der aufstromige Spalt 204 beträgt ungefähr 4 Millimeter, während der abstromige Spalt ungefähr 5 Millimeter hat.
  • Opferquarzplatte
  • Die Behandlungskammer 130 der 11 beinhaltet ferner eine Opferquarzplatte 210, um die aufstromige Kante der Öffnung 133 zu schützen. Wie man in den 12 bis 14 sieht, weist die Opferquarzplatte 210 einen horizontalen, ebenen Teil 212 und eine vertikale, krummlinige Lippe 214 auf. Der horizontale Teil 212 weist ferner eine große zentrale Öffnung 216 auf, die so bemessen ist, daß sie den Antriebsschaft 140 und die Nabe 138 aufnimmt. Der horizontale Teil 212 erstreckt sich unterhalb des Suszeptors 134 und wird durch drei Finger 218 getragen, die von unterhalb der zentralen Trägerplatte 132 abhängen. Die Finger 218 sind sehr ähnlich den Trägerfingern 80 und 82, die zuvor bezüglich der ersten Kammerausführungsform beschrieben wurden. Genauer ist ein vorderer Finger 218a zentral über die seitliche Breite des aufstromigen Abschnittes 135a der zentralen Trägerplatte 132 angeordnet. Ein Paar von abstromigen Fingern 218b ist seitlich von dem Zentrum der Trägerplatte in ihrem abstromigen Abschnitt 135b im Abstand angeordnet. Die nahe Anordnung dieser Finger sieht man am besten in der Explosionsansicht der 12.
  • Der horizontale Teil 212 der Opferquarzplatte 210 weist zwei rückwärtige Ausdehnungen 220 mit rechtwinkligen Bereichen 221 von verringerter Dicke und darin befindlichen Öffnungen 222 auf. Die dünnen Bereiche 221 der Ausdehnungen 220 sind so bemessen, daß sie auf die abstromigen Finger 218b passen, wie man am besten in 11a sieht. Die Öffnungen 222 sind so bemessen, daß sie Trägerstifte 224 aufnehmen, die auch auf den Fingern 218b getragen werden. Die Stifte 224 erstrecken sich durch die Öffnungen 222 und werden für das Stützen des Temperaturausgleichsrings 155 verwendet. Auch sind ein dünner gemachter Bereich 230 und eine vordere Öffnung 226 in dem horizontalen Teil 212 zur Aufnahme eines Trägerstiftes 227 vorgesehen. Der vordere Finger 218a paßt in den dünner gemachten Bereich 230 und trägt das vordere Ende der Opferquarzplatte 210 sowie das vordere Ende des Ringes 155 über den Stift 227. Die dünner gemachten Bereiche 221 und 230 und genauer die dadurch gebildeten Seitenwände halten in Kombination mit den Öffnungen 222 und 226 und den Stiften 224 und 227 die Platte 210 in Position bezüglich der Finger 218a, b.
  • Die Quarzplatte 210 hat eine im allgemeinen konstante, seitliche Querschnittsbreite, welche an einem vorderen Ende zu einem breiteren Bereich 228 divergiert. Der breite Bereich 228 weist gerundete vordere Ecken auf, die zu der Gestalt der Öffnung 133 passen. Die vertikale Lippe 214 erstreckt sich von den gerundeten Kanten des vorderen Teils 228 nach oben in die Nähe der gerundeten rechteckigen Kanten der Öffnung 133. Dies sieht man am besten in 11a. Die vertikale Lippe 214 paßt somit dicht zu der inneren Kante der Trägerplatte 132 an der Öffnung 133 und schützt das Quarz an dieser Stelle vor Entglasung. Die vertikale Lippe 214 hat Kontakt mit dem Quarz der Öffnung 133.
  • Die Form der Opferquarzplatte 210 sieht man in Draufsicht und im Querschnitt in den 13 bzw. 14. Es sei bemerkt, daß die Dicke der Platte 210 in dem Mittelteil größer und an den Bereichen 221 und 230 dünner ist, welche, wie erwähnt, die Finger 218a, b aufnehmen und halten. Ferner ist die vertikale Lippe 214 bemerkenswert dünner als der horizontale Teil der Platte 210. Zur Verwendung in einer Reaktionskammer zur Behandlung von Wafern von Durchmessern von 200 Millimetern hat die Opferquarzplatte 210 eine Gesamtlängenabmessung von nahezu 28,45 cm (11.2 Inch). Die Breite der Platte 210 beträgt nahezu 18,92 cm (7.45 Inch), und die nach außen divergierenden Kanten in dem Bereich 228 bilden mit den Seitenkanten einen Winkel von 30°. Die Breite des vorderen Bereiches 228 beträgt nahezu 25,81 cm (10.16 Inch). Die Krümmung der vorderen Kanten, die dicht zu der inneren Kante der Öffnung 133 paßt, beträgt im Radius nahezu 5,72 cm (2.25 Inch). Die Platte hat eine Dicke von nahezu 0,51 cm (0.2 Inch) über einen Hauptteil ihres Querschnittes, wobei aber die Bereiche, welche die Finger 218 aufnehmen, eine Dicke von nahezu 0,152 cm (0.06 Inch) haben. Die vertikale Lippe hat eine Höhe von nahezu 2,51 cm (0.99 Inch), während ihre Dicke nahezu 1 mm (0.04 Inch) beträgt.
  • Die 15–17 zeigen eine Opferquarzplatte 240, die in den meisten Beziehungen der zuvor beschriebenen Quarzplatte 210 identisch ist. Im Gegensatz dazu weist die Quarzplatte 240 jedoch eine horizontale Ausdehnung 242 auf, die an einer oberen Kante der vertikalen Lippe 214 gebildet ist. Die horizontale Ausdehnung 242 erstreckt sich nach vorne von der Lippe 214 und soll auf der oberen Oberfläche der zentralen Trägerplatte 132 ruhen. D. h., daß sich die vertikale Lippe 214 nach oben, wie man in 11a sieht, in dichte Nähe zu der Öffnung 133 erstreckt und sich die horizontale Ausdehnung 242 über die obere Ecke der Öffnung erstreckt. Diese Anordnung schützt ferner das Quarz der zentralen Trägerplatte 132 vor Entglasung. In den anderen Beziehungen ist die Quarzplatte 240 mit der zuvor beschriebenen Quarzplatte 210 identisch und wird durch die Finger 218a, b unterhalb des Suszeptors 134 und parallel zu diesem getragen.
  • Die 18a und 18b zeigen die den Suszeptor 134 umgebend angeordneten Opferquarzplatten. Wie man in 18a genauer sieht, sieht man die Opferquarzplatte 210 in gestrichelter Linie unterhalb des Suszeptors 134, wobei die vertikale Lippe 214 an der abstromigen Kante des vorderen Abschnittes 135a des zentralen Trägers 132 sichtbar ist. Man sieht, daß sich die vertikale Lippe 214 seitlich um die Seiten der Öffnung 133 erstreckt, um an den Seitenschienen 18 der Kammer 130 zu enden, wie zuvor beschrieben wurde. 18b zeigt andererseits die horizontale Ausdehnung 242, die sich nach vorn von der Kante der Öffnung 133 erstreckt. Wieder ist die Opferquarzplatte 240 unterhalb des Suszeptors 134 und parallel zu diesem positioniert.
  • Die 18c, 19 und 20 zeigen ein Paar von diskreten Opferquarzplatten 250a und 250b, das auf der zentralen Trägerplatte 132 positioniert ist. Die diskreten Platten 250 werden in Draufsicht in 19 gezeigt und haben eine im allgemeinen rechteckige Form mit einem Paar von abgeschrägten Kanten 252 auf einem aufstromigen Ende und einer krummlinigen, abstromigen Kante 254. Wie man in 20 sieht, springt eine vertikale Lippe 256 nach unten von der krummlinigen Kante 254 hervor. Die krummlinige Kante 254 ist so bemessen, daß sie dicht zu den gekrümmten Ecken der gerundeten, rechteckigen Öffnung 133 paßt. Diesbezüglich und wie man in 18c sieht, sind die diskreten Opferquarzplatten 250a, b an den gerundeten Ecken der Öffnung 133 positioniert. Die nach unten abhängende Lippe 256 schützt somit die Ecken der Öffnung 133 vor Entglasung. Die Ecken der Öffnung 133 werden während der Vakuumbehandlung den größten Belastungen unterworfen und leiden daher am meisten durch wiederholtes, thermisches Zyklieren. Somit schützt die Schaffung der diskreten Platten 250a, b diese Ecken, und sie können verhältnismäßig leicht ersetzt werden. Die Platten 250a, b, sind einfach auf der zentralen Trägerplatte 132 ohne andere Befestigungsmittel angebracht. Selbstverständlich kann eine einzige Opferquarzplatte, welche die diskreten Platten 250a, b kombiniert auch direkt oben auf der zentralen Trägerplatte 132 angebracht werden.
  • Gasinjektor
  • 21 stellt den zuvor bezüglich 11 erwähnten Gasinjektor 156 dar. Der Gasinjektor 156 weist ein im allgemeinen vertikales, zweiteiliges Flanschteil auf, welches an das aufstromige Ende der Quarzkammer 130 anstößt. Genauer ist der Gasinjektor 156 zwischen einer Waferhandhabungskammer 260 (gestrichelt dargestellt) und der Behandlungskammer 130 in Sandwichbauart angeordnet. Geeigneter pneumatischer Druck wird auf eine von vielen, dem Fachmann bekannten Arten vorgesehen, um den sehr guten Kontakt zwischen dem Gasinjektor 156 und der Kammer 130 sicherzustellen.
  • Die Waferhandhabungskammer 260 weist eine kegelförmige Waferbelieferungsöffnung 262 auf, welche zu einem horizontalen Wafer/Gas-Eintrittsschlitz 264 führt, der durch den Gasinjektor 256 bestimmt wird. Eine Waferhandhabungsvorrichtung (nicht gezeigt) liefert Wafer durch die Belieferungsöffnung 262 und den Eingangsschlitz 264 zu der Handhabungskammer 260 und der Behandlungskammer 130 und von diesen weg.
  • Die Waferhandhabungsvorrichtung weist eine Aufnahmewand mit geringem Querschnitt vom Bernoulli-Typ auf, welche durch den Eintrittsschlitz 264 paßt, der auf einer Unterseite den Wafer trägt. Selbstverständlich können andere Aufnahmewände mit geringem Querschnitt verwendet werden. Diesbezüglich hat der Eintrittsschlitz 264 eine Höhe von nahezu 1,91 cm (0.75 Inch) und eine Länge durch den Gasinjektor 156 von etwa 3,96 cm (1.56 Inch). Bezüglich der Rückansicht der 22 erstreckt sich der Eintrittsschlitz 264 seitlich entlang einem Hauptteil der Breite des Gasinjektors 156 und hat vorzugsweise eine Breite von ungefähr 22,86 cm (9 Inch), um den Durchgang von Wafern mit 200 mm zu ermöglichen. Fachleute werden bemerken, daß die hier bezeichneten Abmessungen verändert werden, um Wafer größerer Abmessung, wie zum Beispiel solche von 300 mm Durchmesser, unterzubringen.
  • Wie in dem US-Patent Nr. 4,828,224 beschrieben, ist ein Absperrschieber in der Handhabungskammer für reziproke Bewegung abwechselnd zum Schließen und Öffnen der Belieferungsöffnung 262 befestigt. Der Absperrschieber weist einen Schwenkkörper auf, der durch sich hindurch auch einen Waferdurchgang hat, wobei sich der Durchgang in Linie mit der Belieferungsöffnung 262 befindet, wenn der Schieber geöffnet ist. Eine feste Oberfläche des Absperrschiebers weist einen O-Ring auf, der geeignet ist, gegen die Vorderfläche des Gasinjektors 156 abzudichten, um die Umgebung der Handhabungskammer 260 von der der Behandlungskammer 130 zu isolieren.
  • Der Gasinjektor 156 ist aus einer vorderen Flanschhälfte 266 und aus einer rückwärtigen Flanschhälfte 268 gebildet. Die vorderen und rückwärtigen Flanschhälften 266, 268 sind im allgemeinen vertikal angeordnete, plattenähnliche Teile, die so angeordnet sind, daß sie gegeneinander passen. Genauer ist die Vorderfläche der vorderen Flanschhälfte 266 geeignet, gegen die Waferhandhabungskammer 260 zu passen, und ihre Rückseite stößt gegen die Vorderfläche der rückwärtigen Flanschhälfte 268. Ferner paßt die rückwärtige Fläche der rückwärtigen Flanschhälfte 268 gegen die Behandlungskammer 130. O-Ringdichtungen sind zwischen diesen Elementen vorgesehen, um Gasleckage von den Waferhandhabungsbereichen oder deren Verunreinigung zu verhin dern. Ein im allgemeinen ovalförmiger Kammer-O-Ring 270, am besten in 22 gesehen, ist zwischen dem Gasinjektor 156 und der Kammer 130 vorgesehen. Genauer weist die rückwärtige Flanschhälfte 268 eine fortlaufende Nut 272 (25) in ihrer rückwärtigen Fläche auf, welche den Eintrittsschlitz 264 umgibt, der den Kammer-O-Ring 270 aufnimmt. Der Kammer-O-Ring 270 stößt gegen die flache Vorderfläche der Behandlungskammer 130 und umgibt die Einlaßöffnungen 160, 174, welche zu dem oberen bzw. unteren Bereich 162 bzw. 172 führen. Ein mittlerer O-Ring 274 ist in einer Nut in der rückwärtigen Fläche der vorderen Flanschhälfte 266 vorgesehen und dichtet den Eintrittsschlitz 264 an der Verbindung zwischen den vorderen und rückwärtigen Flanschhälften 266, 268 ab. Schließlich ist ein O-Ring 276 der Handhabungskammer in einer Nut in der Vorderfläche der vorderen Flanschhälfte 266 vorgesehen, welcher den Eintrittsschlitz 264 an der Grenzfläche zwischen dem Gasinjektor 156 und der Waferhandhabungskammer 260 abdichtet.
  • Wie man in den 22 und 23 sieht, ist eine Vielzahl von Nadelventilen 158 über die obere Kante der rückwärtigen Flanschhälfte 268 verteilt. Es sind fünf solcher Nadelventile 158 gleichmäßig und zentriert in der rückwärtigen Flanschhälfte 268 verteilt. Jedes der Nadelventile 158 weist eine rohrförmige Kartusche 280, die in einen sich nach oben öffnenden, abgestuften Hohlraum 282 (26) befestigt ist, und Klemmschrauben 284 für die Einstellung des Gasstromes durch den Gasinjektor 156 auf. Diesbezüglich tritt das Behandlungsgas durch eine Einlaßleitung 286 in eine seitliche Kante der rückwärtigen Flanschhälfte 268 und wird durch den Gasinjektor 156 verteilt, wenn es schließlich in die Behandlungskammer 130 durch den Eintrittsschlitz 264 eintritt.
  • Das Behandlungsgas tritt an der Einlaßleitung 286 ein und strömt durch ein horizontales Plenum 288, das sich seitlich über die rückwärtige Flanschhälfte 268 unterhalb der fünf Einstellklemmschrauben 284 erstreckt. Wie man am besten in 26 sieht, ist jede Kartusche 280 innerhalb einer oberen Gewindebohrung 290 des Hohlraumes 282 befestigt, wobei der Hohlraum auch eine Ventilbohrung 292 mit verringertem Durchmesser bestimmt. Jede der Gewindebohrungen 292 befindet sich in Fluidverbindung mit dem horizontalen Plenum 288. Jede Kartusche 280 weist einen äußeren Gewindeteil 294, der zu der Gewindebohrung 290 paßt, und einen unteren Teil 296 mit verringertem Durchmesser zur Aufnahme und Kanalisieren des Behandlungsgases auf. Genauer weist der Teil 296 mit verringertem Durchmesser einen horizontalen Schlitz 298 an der Höhe des Plenums 288 auf, welcher es dem Behandlungsgas erlaubt, frei entlang dem Plenum zu jedem Hohlraum 288 zu strömen. Gas, welches durch die Einlaßleitung 286 eintritt, füllt somit das Plenum 288 auf einen gleichmäßigen Druck über seine Breite.
  • Ein von der Kartusche 280 getrennter Ventilsitz 300 ist auf dem Bodenende der Ventilbohrungen 292 positioniert und auf seiner Außenseite gegen die Gewindebohrung mit einem O-Ring 302 abgedichtet. Jede Klemmschraube 284 ist axial mit einer Nadel 304 ausgerichtet und stößt an diese an, welche sich nach unten durch die hohle Kartusche 280 und in Eingriff mit einer inneren Dichtungsoberfläche auf dem Ventilsitz 300 erstreckt. In der Mitte entlang der Nadel 304 schafft ein ringförmiger Nadelrand 306 eine Reaktionsoberfläche, gegen welche eine Feder 308 arbeitet. Die Feder 308 ist innerhalb einer oberen zylindrischen Bohrung 310 der Kartusche positioniert und stößt gegen eine Stufe 312 mit verringertem Durchmesser. Die Nadel 304 ist somit nach oben in Eingriff mit der Klemmschraube 284 vorgespannt. Die Feder 308 ermöglicht es, das Positionieren der Nadel 304 bezüglich der Kartusche 280 zu präzisieren. D. h., die Nadel 304 hat innerhalb der Bohrung der Kartusche 280 einen Gleitsitz und ist durch die Feder 308 gegen die Klemmschraube 284 vorgespannt, welche einen einstellbaren Anschlag schafft. Zusätzlich ist die Federkonstante der Feder 308 ausreichend, um nach unten gerichteter Bewegung der Nadel 304 während der Vakuumbehandlung zu widerstehen.
  • Die Kartusche 280 ist mit Abstufungen um ihren Umfang herum versehen und arbeitet mit der drehbaren Klemmschraube 284 ähnlich wie ein Mikrometer. Axialer Lauf der Klemmschraube 284 und der Nadel 304 innerhalb der Kartusche 280 bringt abwechselnd die Ventiloberflächen zwischen dem unteren Ende der Nadel und dem Ventilsitz 300 in Eingriff und trennt sie abwechselnd voneinander. Ein zweiter kleinerer O-Ring 314 ist rund um die Nadel 204 vorgesehen und arbeitet gegen eine Bohrung 316 mit verringertem Durchmesser unterhalb der oberen Bohrung 310 in der Kartusche 280. Dies hindert Behandlungsgas daran, nach oben um die Nadel 304 herumzulaufen. Ein dritter O-Ring 318 ist in einer in der Außenseite der Kartusche 280 gebildeten Nut positioniert und arbeitet gegen die Ventilbohrung 292, um Behandlungsgas daran zu hindern, nach oben rund um die Kartusche zu entweichen.
  • Bezüglich 22 erstreckt sich eine enge Leitung 320 nach unten unterhalb der Ventilbohrung 292 in Verbindung mit einer Expansionskammer 322, wie am besten in 24 zu sehen ist. Wie man auch an der vorderen Seite der rückwärtigen Flanschhälfte 268 in 23 sieht, ist jede Expansionskammer 322 als ein horizontaler Schlitz gebildet und divergiert nach außen an einem rückwärtigen Ende unterhalb der Leitung 320 zu einem vorderen Ende, welches der rückwärtigen Flanschhälfte 266 zugewandt ist. Die Expansionskammern 322 sind durch zwei Verteilervorrichtungen 324 getrennt. Es sind fünf solcher Expansionskammern 322 vorhanden, die mit dazwischen vorgesehenen Flußverteilervorrichtungen 324 gebildet sind.
  • Das vordere untere Ende jeder Expansionskammer 322 endet in einer abgerundeten Lippe 326, im Profil in 21 gezeigt. Die Lippe 326 springt nach vorn hervor und endet gerade kurz vor der Ebene, welche durch die Vorderseite der rückwärtigen Flanschhälfte 268 bestimmt wird; diese bestimmt auch eine Grenzfläche zwischen den Flanschhälften. Die Verteilervorrichtungen bzw. Trennvorrichtungen 324 ragen nach vorn zu der Grenzfläche heraus und enden an dem vordersten Punkt der abgerundeten Lippe 326, wie man in 23 sieht. Die Lippe 326 setzt sich nach rückwärts von den Flußverteilervorrichtungen 324 fort, wobei sie unter die Expansionskammern 322 in einer gemeinsamen ebenen, gewinkelten Oberfläche 32 rollt. Die gewinkelte Oberfläche 328 setzt sich nach unten und nach rückwärts, bis sie den Eintrittsschlitz 264 schneidet, fort, wie man in 21 sieht.
  • Betrachtet man 21, so weist die vordere Flanschhälfte 266 eine Reihe von Ausnehmungen 330 auf, die in ihrer Rückseite gegenüber den Expansionskammern 322 gebildet sind. Die Ausnehmungen 330 haben schmale Wände 332, die voneinander getrennt sind, was gestrichelt in 22 dargestellt und im Querschnitt in 24 zu sehen ist. Jede Ausnehmung 330 hat eine längliche, im allgemeine halbzylindrische Gestalt, und eine untere Wand 334, die nach unten und nach rückwärts gewinkelt ist und sich als eine Lippe 336 fortsetzt, die unterhalb der gewinkelten Oberfläche 328 der rückwärtigen Flanschhälfte 268 hervorragt. Die schmalen Wände 332 enden an der Grenzfläche, während sich die Lippe 336 nach rückwärts von dieser erstreckt. Die winkelige Oberfläche 328 oberhalb und die Lippe 336 unterhalb bestimmen zusammen einen schmalen Schlitz 338 für den Gasfluß mit einer Breite, die in der Breite nahezu gleich der Breite der fünf kombinierten Expansionskammern 322 ist.
  • Behandlungsgas wird an den Nadelventilen 158 gemessen und läuft nach unten durch die Leitung 320 in die Expansionskammern 322, wo der Gasstrom nach außen diffundiert, um seine Geschwindigkeit zu verlangsamen. Die fünf langsameren, sich bewegenden Ströme des Behandlungsgases fließen dann im allgemeinen nach vom über die Grenzfläche und werden beinahe um 180° durch die gekrümmten Wände der Ausnehmungen 330 gedreht, um durch den schmalen Schlitz 338 gelenkt zu werden, der den Strom zu einem blattähnlichen Band formt. Der durch jedes der fünf Nadelventile 158 gemessene Strom wird durch die Flußverteilervorrichtungen 324 innerhalb jeder der Expansionskammern 322 und innerhalb jeder der Ausnehmungen 330 durch die Wände 332 getrennt gehalten. Den fünf getrennten Strömen wird gestattet, sich durch den Schlitz 338 zu mischen, um in einem einzigen, ebenen Band zu resultieren. Diese Gasflußbahn setzt sich nach unten und nach rückwärts in den Eintrittsschlitz 264 und danach im allgemeinen horizontal durch die Behandlungskammer 130 fort, in erster Linie aufgrund des abnehmenden Druckgradienten entlang der Kammer in der Richtung der Vakuumquelle abstromig von der Auslaßvorrichtung 180. Während des Behandlungsgasflusses wird das vordere Ende des Eintrittsschlitzes 264 durch die Schaffung des zuvor erwähnten Absperrschiebers geschlossen, um Fließen in die entgegengesetzte Richtung zu verhindern. Das Mischen des Stromes beginnt in einem bedeutenden Abstand aufstromig von der vorderen Kante des Wafers, um so für die getrennten Fließströme angemessenen Abstand und Zeit zu schaffen, sich durch Diffusion zu mischen, wodurch das Gasdichteprofil seitlich über den Wafer geglättet wird.
  • Wie man in 22 sieht, ist der Gasinjektor 156 ferner mit Reinigungsgas- bzw. Entleerungsgaskanälen versehen, und drei Entleerungsgasöffnungen 340 öffnen sich in den unteren Bereich 172 der Behandlungskammer 130. Diesbezüglich fließt Behandlungsgas durch den Eintrittsschlitz 264 und die Einlaßöffnung 160 in den oberen Bereich 162 der Kammer 130, während Entleerungsgas durch die drei Öffnungen 340 und die Entleerungseinlaßöffnung 174 in den unteren Bereich 172 der Kammer fließt. Ein Entleerungsgaseinlaß 342 ist auf der Seitenkante der rückwärtigen Flanschhälfte 268 gegenüber der Einlaßleitung 286 für Behandlungsgas vorgesehen. Der Einlaß 342 führt zu einem kurzen, horizontalen Durchgang 344, der mit einem vertikalen Durchgang 346 Verbindung schafft. Ein horizontales Entleerungsgasplenum 348 verteilt das Entleerungsgas in gleichen Drücken zu den Öffnungen 340. Entleerungsgas wird somit durch den Gasinjektor 156 kanalisiert und tritt durch die drei gleichmäßig im Abstand angeordneten Öffnungen 340 aus, die unterhalb des Eintrittsschlitzes 264 und unterhalb der zentralen Stützplatte 130 positioniert sind, wenn der Gasinjektor an die Behandlungskammer 130 stößt.
  • Unter Bezugnahme auf die 21, 24 und 25 weist der Gasinjektor 156 auch in sich Wasserkühlkanäle zum Schutz des O-Ringes 270 der Kammer auf. Genauer schafft ein Paar von unteren Leitungen 350a, 350b einen Kühlwasserfluß in den Gasinjektor 156 und aus diesem heraus. Die inneren Kühlkanäle innerhalb des Gasinjektors 156 sieht man am besten im Querschnitt der 25. Kühlwasser tritt durch die Leitung 350a ein und läuft nach oben durch einen kurzen Abschnitt oder Durchgang 352 in einen länglichen, horizontalen unteren Durchgang 354. Dieser Durchgang 354 schafft Verbindung mit einem vertikalen Seitendurchgang 356 und danach mit einem länglichen, horizontalen oberen Durchlaß 358. Schließlich erstreckt sich ein zweiter Seitendurchgang 360 von dem oberen Durchgang 358 nach unten zu dem Kühlauslaß 350b. Man sieht, daß jeder dieser Durchgänge in die feste, rückwärtige Flanschhälfte 258 gebohrt ist, und Stopfen 362 sind an jedem ihrer äußeren Enden vorgesehen. Das Muster der Durchgänge paßt dicht zu der Gestalt des O-Ringes 270 der Kammer. Ferner sind die Durchgänge in der rückwärtigen Flanschhälfte 268 an einer Stelle nahe dem O-Ring 270 mit einem Minimum an festem Material dazwischen vorgesehen, wie man am besten in den 21 und 24 sieht. Der Kühlfluß durch die Durchgänge soll die Temperatur des Materials des Gasinjektors 156 nahe dem O-Ring 270 der Kammer bei ungefähr 60°F oder kälter halten. Das verwendete Kühlfluid ist vorzugsweise ein pH ausgeglichen eingestelltes mineralfreies Wasser, das bei Raumtemperatur oder weniger eingeführt wird. Die Gasinjektorelemente sind vorzugsweise aus nicht rostendem Stahl hergestellt, und das mineralfreie Wasser verhindert die Bildung von Niederschlägen, welche den Durchgang des Kühlwassers hindern können.
  • Der vorliegende einstellbare Gasinjektor 156 verbessert erheblich den Wirkungsgrad des Gasstromes durch die Behandlungskammer 130. Speziell erzeugten viele frühere Gasinjektoren ein festes Fließmuster oder waren zur Einstellung ungeeignet. Wenn der Gasstrom geringer als das Optimum war, zum Beispiel wenn Rückführungen wegen Deposition bzw. Ablagerung auf den Kammerwänden ersichtlich wurde, mußte daher der gesamte Gasinjektor auseinandergenommen werden, um den Gasstrom durch diesen hindurch einzustellen. In dem vorliegenden Gasinjektor 156 schaffen die Klemmschrauben 284 Einstellbarkeit über die seitliche Breite der Kammer. Wenn Rückführungen durch Bildung von Partikeln auf der einen oder anderen Wand der Kammer beobachtet werden, wird der Gasstrom an dieser Seite höher eingestellt. Häufig verhindern Herstellungstoleranzen im Aufbau des Gasinjektors genaue Korrelation zwischen den Einstellungen der Klemmschrauben 284 und dem Gasstrom an den fünf Nadelventilen vorbei. Wären die Toleranzen perfekt, könnten die Klemmschrauben auf spezifische Werte bezüglich den Mikrometerabstufungen auf der Kartusche 280 eingestellt werden, und die Fließrate wäre aus solchen Werten voraussagbar. Die Toleranzen sind jedoch weniger als genau, und die Einstellbarkeit der Nadelventile beschleunigt erheblich die Behandlung.
  • Obwohl die verschiedenen, seitlich verteilten Gasströme unabhängig in dem Gasinjektor 156 gemessen werden, ist der Aggregatausstoß aus dem Injektor ein einziges Stromband, in welchem die Längsgrenzen der Ströme sich gut aufstromig von der vorderen Kante des Wafers mischen dür fen. Somit wird die Depositionsgleichförmigkeit durch Vermeidung bestimmter Grenzen zwischen den Flüssen verbessert.
  • Die Mikrometer werden in spezifischen Abständen geöffnet, um den Gasstrom zwischen den Nadeln 304 und dem Ventilsitz 300 zu ermöglichen. Die äußeren zwei Nadelventile 158 werden um 1,5 mm, die zweiten Nadelventile um 1,7 mm und das mittlere Nadelventil um 2 mm geöffnet. Dieses symmetrische Muster besteht für einen symmetrischen Gasstrom durch die Behandlungskammer 130. Wenn später eine Beobachtung zeigt, daß Rückführungen innerhalb der Kammer auftreten, zeigt dies an, daß der Gasstrom trotz der Anzeige der Klemmschrauben nicht symmetrisch ist. In dieser Situation werden eine oder mehrere der Klemmschrauben eingestellt, um den Strom auf einer seitlichen Seite der Kammer zu erhöhen.
  • Ein typischer gesamter Behandlungsgasstrom ist 16 slm. Dieser Gasstrom wird um ungefähr 20% über den Gasstrom in rechteckigen Behandlungskammern derselben Höhe verringert. Dies erfolgt aufgrund der linsenförmigen Gestalt der Kammer. Der typische Gasdruck beträgt 137.895 kPa (20 psi) vor dem Durchlaufen durch die Nadelventile.
  • Der Kammerdruck kann sich auf einem Vakuum von ungefähr 10.665 kPa (80 torr) befinden. Herkömmliche Behandlungsgase sind zum Beispiel Dichlorsilan und Trichlorsilan. Selbstverständlich werden die Behandlungs- oder Dotiergase mit einem Träger in typischer Weise Wasserstoff mit einem Verhältnis von ungefähr 9 : 1 Träger zu Behandlungsgas gemischt. Zusätzlich werden Reinigungsgase bzw. Entleerungsgase, wie zum Beispiel Stickstoff, häufig durch den Gasinjektor eingespritzt, um die verschiedenen Kanäle zu reinigen. Ferner werden Ätzgase, wie zum Beispiel Chlorwasserstoff, verwendet, um die Kanäle zu reinigen. Der typische Reinigungsgasfluß durch den Gasinjektor in den unteren Bereich der Kammer ist Wasserstoff bei einer Fließrate von zwischen 5 und 15 slm. Selbstverständlich können Stickstoff oder andere solche inerte Gase ersetzt werden.
  • Der vorliegende Gasinjektor 156 schafft einen Minimalbetrag an Totraumvolumen in sich, um schnellere und schärfere Übergänge zwischen verschiedenen Gasen zu ermöglichen. D. h., daß in einigen Behandlungssequenzen ein erstes Dotiergas durch den Gasinjektor in die Kammer, gefolgt von einem zweiten Dotiergas, eingeführt wird. An dem Übergang zwischen der Einführung der Gase verweilt das erste Gas manchmal innerhalb des Gasinjektors. Der vorliegende Injektor 156 hat andererseits sehr kleine innere Kanäle mit minimalem Totraum, so daß, nach Einführung eines inerten Zwischengases oder direkt des zweiten Dotiergases, das erste Dotiergas sofort ausgespült wird.
  • Sich abstromig erstreckender Temperaturausgleichsring
  • 27 zeigt eine Parallelfluß-CVD-Behandlungskammer 370, ähnlich der in 11 gezeigten Behandlungskammer 130, mit einem Suszeptor 372 zum Stützen bzw. Tragen von Wafern in einem Behandlungsgasstrom. Wie zuvor treten Behandlungsgase durch einen Einlaß 374 in die Kammer ein und durch einen Auslaß 376 in der Kammer heraus, wobei sie in einer Richtung parallel zu der Ebene des Suszeptors strömen. Obere und untere Reihen von Strahlungsheizlampen 378a, 378b sind neben der Kammer positioniert. Man bemerkt, daß sich die Lampenreihen 378a, b weiter nach unten erstrecken als die für die 11 beschriebenen Lampenreihen. Es können nach unten erstrecken als die für die 11 beschriebenen Lampenreihen. Es können andere Anordnungen von Heizlampen verwendet werden.
  • In 27 ist ein abstromigen Aufbau der Kammer gezeigt mit dem Ziel, die Temperatur, Geschwindigkeit, Richtung und Zusammensetzung des Gasstromes zu ändern. Außerdem wird die Temperatur der Behandlungskammerwand abstromig von dem Wafer und dem Suszeptor durch diesen abstromigen Aufbau erhöht, was die Ätzwirkung der Kammer verbessert.
  • Ein Temperaturausgleichsring 380 ist geschaffen, welcher den Suszeptor 372 umgibt, der beinahe in jeder Hinsicht dem Ring 155 der 11 identisch ist. Im Gegensatz zu dem vorherigen Ring 155, der sich abstromig von der Kante der gerundeten, rechteckigen Öffnung 133 der Trägerplatte 132 erstreckte, erstreckt sich eine obere Platte 382 des Ringes 380 wesentlich weiter. Zur Aufnahme dieser Ausdehnung, weist eine Trägerplatte 384 in der Kammer 370 eine Suszeptoröffnung mit einer abstromigen Kante 386 auf, die an einer Mittellinie der Kammer beinahe eine Hälfte des Weges zwischen dem Suszeptor 372 und dem Auslaß 376 beträgt.
  • Die abstromige Kante der oberen Platte 382 des Ringes 380 paßt zu der Gestalt der Öffnung und endet in dichter Nähe der Öffnung, wie man in 27 sieht. Wie weiter unten beschrieben wird, müssen die Vorteile des verlängerten Ringes 380 gegen die Sorge um die Verringerung in der Festigkeit der Kammer 370 aufgrund einer verringerten Größe der inneren Trägerplatte 384 abgewogen werden. D. h., daß zum Beispiel ein Vorteil aus der Masse des abstromigen Aufbaus besteht, welche Strahlungshitze absorbiert und diese Hitze zurück zu den Kammerwänden reflektiert. Diesbezüglich gilt, je mehr abstromiges Material um so besser. Andererseits kann ein zu großer Ring 380 den Widerstand der gesamten Kammer 370 in Vakuumbehandlung gefährden, wenn das Material der Trägerplatte 384 verringert ist. Die obere Platte 382 kann eine gerundete, rechteckige, abstromige Kante ähnlich der des modifizierten Temperaturausgleichsrings 72' haben, wie in 9 gezeigt ist, obwohl die obere Platte in runden oder in anderen Gestalten geformt sein kann.
  • Es sei auch bemerkt, daß die durch den abstromigen Aufbau gebotenen Vorteile nicht einzig und allein durch die vorliegend beschriebene Behandlungskammer mit innerem Träger realisiert werden. Herkömmliche Kammern ohne eine mittlere Trägerplatte können auch den abstromigen Aufbau zu einem gewissen Vorteil integrieren. Diese Vorteile werden dem Fachmann für verschiedenen Kammeraufbau aus der folgenden Beschreibung unter Bezugnahme auf schematische Darstellungen von Behandlungskammern klar.
  • Eine allgemeine Ausführungsform eines abstromigen Aufbaus gemäß der vorliegenden Erfindung innerhalb einer Quarzkammer 388 ist schematisch in den 28a und 28b dargestellt und weist eine flache Platte 390 mit einer krummlinigen Vorderkante 392 neben und passend zu dem Umfang eines Suszeptors 394 auf. Wie sich zeigen wird, kann diese Platte 390 den Teil der oberen Platte 382 des Ringes 380 abstromig von dem Suszeptor 394 darstellen, oder sie kann ein separater Aufbau sein. Wenn die Platte 390 einen Teil der oberen Platte 382 aufweist, wird sie durch die Finger gestützt, welche von der inneren Stützplatte der Kammer herabhängen, wie zuvor beschrieben wurde. Wenn die Platte 390 von dem Ring 380 getrennt ist, kann sie auch von Fingern gehalten werden, die an der inneren Stützplatte der Kammer befestigt sind, oder sie kann alternativ durch einen Ständer, vorzugsweise aus Quarz, gestützt sein, der an der Kammer 388 befestigt oder innerhalb dieser positioniert ist. Die Platte 390 ist vorzugsweise aus Graphit aufgebaut, der die von den äußeren Heizlampen 396 abgestrahlte Energie schneller als die Quarzkammer 388 absorbiert.
  • Dies sind einige Vorteile des abstromigen Aufbaus der vorliegenden Erfindung. 29a zeigt die Behandlungskammer 388 ohne die abstromige Platte 390, und sie zeigt schematisch den Strahlungswärmefluß 398 von den äußeren Lampen 396. Die Quarzwände der Kammer 388 sind für diesen Energiefluß verhältnismäßig transparent und halten somit nicht einen wesentlichen Wärmebetrag zurück. Bei Ätzprozessen jedoch ist es wünschenswert, die Kammerwände zu erwärmen, während der Ätzgasfluß in die Kammer eingeführt wird, um die Wände von unerwünschtem Partikelaufbau zu reinigen. Ohne den abstromigen Aufbau heizen sich daher die Wände der Reaktionskammern langsam und auf eine verhältnismäßig niedrige Temperatur auf. Wie in 29b gezeigt ist, wird mit der abstromigen Platte 390 in der Kammer 388 die von der Graphitplatte abgestrahlte Energie (mit den Pfeilen 400 gezeigt) in einem Frequenzbereich durch die Quarzreaktorwände leicht absorbiert. Die Kammerwände können somit schnell auf eine hohe Temperatur erhitzt werden, was den Ätzzyklus beschleunigt und den Gesamtdurchsatz verbessert.
  • Die Pfeile 402 in 30a zeigen eine übertrieben ungleichmäßige Chemical Vapor Deposition auf einem Wafer. Eine solche Ungleichförmigkeit kann von steilen Temperatur- und Fließgradienten an der Kante des Wafers/Suszeptors herrühren. Wie durch Pfeile 404 in 30b dargestellt ist, verhilft die Anwesenheit der Platte 390 dazu, die Temperatur- und Fließgradienten an der rückwärtigen Kante des Wafers auszugleichen, was in einer gleichförmigeren Chemical Vapor Deposition resultiert.
  • In 31a sammeln die Wände der Quarzbehandlungskammer 388 ohne den abstromigen Aufbau infolge ihrer erhöhten Temperatur einen erheblichen Betrag von unerwünschtem Niederschlag aus wiederholter Behandlung an. Eine typische Verteilung dieses Niederschlags wird schematisch durch die Pfeile 406 gezeigt. Im Gegensatz wird, wie in 31b gezeigt ist, die Graphitplatte 390 der heißeste Aufbau innerhalb der Kammer 388 in dem abstromigen Bereich, und Niederschlag tritt darauf eher auf als auf den Kammerwänden, wie mit den Pfeilen 408 gezeigt ist.
  • Ein anderer Vorteil der abstromigen Platte 390 besteht in der Neigung, Rückführungen innerhalb der Behandlungskammer 388 zu verringern, die in ungewünschtem Niederschlag um oder sogar aufstromig von der Wafer/Suszeptor-Kombination resultieren kann. Solche Rückführungen 410 in einer Kammer ohne den abstromigen Aufbau werden in den 32a und 32b gezeigt. Die 33a und 33b mit der abstromigen Platte 390 zeigen Fließlinien 412 in einer im allgemeinen fortlaufenden Richtung von links nach rechts, womit so im wesentlichen Rückführungen ausgeschaltet werden.
  • Der oben gezeigte und beschriebene abstromige Aufbau kann auch in Behandlungskammern, die anders als eine linsenförmige Kammer mit innerem Träger sind, nützlich sein. Zum Beispiel zeigt 34 eine Behandlungskammer 400 mit einem Eintrittsbereich 402 und einem Austrittsbereich 404, wobei beide Bereiche im Querschnitt rechteckig sind. Der Eintrittsbereich 402 wird durch eine horizontale obere Wand 406 und eine horizontale erste untere Wand 408 bestimmt, die an einer vertikalen Stufe 410 endet. Die Stufe 410 bildet den Anfang einer zweiten unteren Wand 412 des Ausgangsbereiches 404, welcher die obere Wand 406 mit dem Eintrittsbereich 402 teilt. Die Kammer 400 hat somit einen Querschnittsbereich, der sich an der Stufe 410 nahezu verdoppelt. Ein Suszeptor 414 ist durch einen Rotationsschaft 416 gerade abstromig von der Stufe 410 und in einer Ebene nahezu auf derselben Höhe wie die erste untere Wand 408 gestützt. Ein Temperaturausgleichsring 418 wird durch einen ringähnlichen Ständer 420 oberhalb der zweiten unteren Wand 412 gestützt und umgibt dicht den Suszeptor, wie zuvor beschrieben wurde. Der Ständer 420 kann so sein, wie in dem US-Patent Nr. 4,821,674 gezeigt und beschrieben ist.
  • Eine Platte 422 ist abstromig von dem Ring 418 positioniert und kann auf einem Ständer auf der zweiten unteren Wand 412 oder durch Stifte oder Dübel 424 getragen sein, welche durch die Seitenwände der Kammer 400 gestützt werden. Wie man sieht, befindet sich die Platte 422 nahezu in der Ebene des Ringes 418, des Suszeptors 414 und des darauf getragenen Wafers, und sie erstreckt sich von dem Ring bis dicht zu dem Kammerauslaß. Obere und untere Lampenreihen 426a, 426b richten Strahlungsenergie in die Kammer und sind im wesentlichen oberhalb und unterhalb des Bereiches angeordnet, der sich von der vorderen Kante des Ringes 418 zu der hinteren Kante der Platte 422 erstreckt. Der Gasstrom durch die Kammer wird mit dem Pfeil 428 gezeigt. Die abstromige Platte 422 ist vorzugsweise aus Graphit aufgebaut, um die zuvor erwähnten Vorteile des wirkungsvolleren Kammerätzens und besserer Temperaturgleichförmigkeit über den Wafer zu realisieren. Die Platte 422 kann auch Quarz sein, was die Temperaturverteilung in der Kammer nicht wesentlich beeinflußt, aber Fließmerkmale verbessert und Rückführungen verringert.
  • 35 zeigt dieselbe Kammer 400 mit einem modifizierten Temperaturausgleichsring 432, welcher den Suszeptor 414 umgibt. Der Ring 432 weist ein unteres, ringförmiges, U-förmiges Teil 434 auf, das durch ein ebenes Teil 436 bedeckt wird, welches in dem Suszeptor eine Breite nahezu gleich dem U-förmigen Teil hat, das sich im wesentlichen abstromig in den Ausgangsbereich 404 erstreckt. Der Ring 432 ist in vieler Hinsicht ähnlich dem in 27 gezeigten Ring 380. Im Gegensatz zu dem vorangegangenen Ring 380, der durch an der inneren Trägerplatte 384 angebrachte Finger getragen wird, wird der Ring 432 zum Teil durch den Ständer 420 und zum Teil durch eine abstromige Strebe 438 getragen. Selbstverständlich gibt es viele Arten, den Ring 432 in der Kammer zu positionieren, wobei bevorzugte Mittel einen gewissen Typ von Quarzaufbau aufweisen, der leicht ersetzt werden kann, nachdem er wegen wiederholter Behandlungszyklen Schaden genommen hat. Wieder ist der Ring 432 wünschenswert aus einem Material mit einer hohen Wärmemasse aufgebaut, um dazu beizutragen, die Temperaturgleichförmigkeit über den Wafer aufrecht zu erhalten und um den Wirkungsgrad des Ätzzyklus zu verbessern.
  • Die 36 bis 38 zeigen eine Kammer 440, ähnlich der in 11 gezeigten, zusammen mit den zugeordneten Behandlungskomponenten, wie zum Beispiel dem Suszeptor 442 zum Tragen eines Wafers, dem Gasinjektor 444 und den Strahlungslampen 446. Die Kammer 440 weist eine zentrale Trägerplatte 448 auf, welche obere bzw. untere Kammerbereiche 452, 454 bestimmt. Ein Einlaßendflansch 450 hat einen oberen Schlitz 456, der von einem Einlaßschlitz 458 für Reaktionsgas in dem Gasinjektor 444 in den oberen Kammerbereich 452 führt, und einen unteren Schlitz 460, der von den Reinigungsgasöffnungen 462 in dem Gasinjektor in den unteren Kammerbereich 454 führt. Diese Merkmale sind ähnlich jenen zuvor beschriebenen.
  • Eine den Gasstrom formende Leitung 464 ist in der Kammer 440 zum Kanalisieren von Gas aus dem Injektor 444 gegen den Suszeptor 442 hin geschaffen. Die Leitung 464 hat die Gestalt eines umgekehrten U mit einer rechteckigen oberen Wand 466, die auf zwei rechteckigen Seitenwänden 468 gestützt wird. Die Leitung 464 bestimmt einen Kanal, innerhalb dessen das Gas von dem Injektor 444 zu dem Suszeptor 442 und dem darauf befindlichen Wafer hinfließt. Die Leitung 464 ist oberhalb der Stützplatte 450 aufstromig von einer Kante 470 der dem Suszeptor 442 zugewandten Platte positioniert.
  • Die Höhe und Breite der Leitung 464 ist derart, daß sie innerhalb des oberen Schlitzes 456 in die Nähe aber vorzugsweise nicht in Kontakt mit dem Injektor 444 paßt. Die Leitung 464 erstreckt sich ungefähr ½ bis 2/3 des Weges von dem Flansch 450 zu der Kante 470 und beträgt wünschenswert zwischen ungefähr 7,62 bis 12,7 cm (3 bis 5 Inch) in der Länge, wobei ein Bereich von zwischen 9,40 und 11,43 cm (3.7 und 4.5 Inch) bevorzugt wird. Die Leitung 464 ist wünschenswert aus Quarz hergestellt und hat eine Dicke von ungefähr 0,152 cm (0.06 Inch). Die seitliche Breite ist größer als die Breite des Injektorschlitzes 458 und beträgt vorzugsweise ungefähr 22,86 cm (9 Inch) (obwohl größere Abmessungen für Kammern notwendig sind, die geeignet sind, größere Wafer, wie zum Beispiel Wafer von 300 mm, zu behandeln). Die Höhe der Leitung 464 beträgt ungefähr 2,29 cm (0.9 Inch) oder zwischen der Höhe des Schlitzes 458 und der des Flanschschlitzes 456. Die Leitung 464 wird vorzugsweise innerhalb der Kammer durch den Flanschschlitz 456 eingebaut und aus dieser entfernt, was das Entfernen des Injektors 444 notwendig macht.
  • Das Vorhandensein der Leitung 464 begrenzt den Gasfluß aus dem Injektor 444, sich innerhalb der Kammer 440 um einen bestimmten Abstand in den oberen Bereich 452 auszubreiten, um die Steuerung des Gasprofils zu verbessern und die Gesamtgasgeschwindigkeit über den Wafer zu erhöhen. Genauer erzeugt der Gasinjektor 444 ein spezielles Gasgeschwindigkeitsprofil durch Einstellung der Flußkontrollventile 472, wie vorher beschrieben wurde, wobei das Profil aus der Expansion und der Rückführung innerhalb der Kammer 440 ausgebreitet werden kann. Dies trifft speziell für atmosphärische Behandlungen im Gegensatz zu Vakuumbehandlungen zu. Während die Gasgeschwindigkeit geeignet von dem Injektor 444 zu dem Suszeptor 442 in Niederdruckbehandlungen aufrechterhalten werden kann, neigt diese zusätzlich dazu, in atmosphärischen Behandlungen langsamer zu werden. Folglich hält die Leitung 464 die Unversehrtheit des abstromigen Geschwindigkeitsprofils aufrecht, was für alle Behandlungen wünschenswert ist, und verzögert Ausdehnung und Verlangsamung des Gasstromes, was in erster Linie für Behandlungen bei höherem Druck vorteilhaft ist.
  • Die obere Wand 466 der in den 36 bis 38 gezeigten Leitung 464 hat eine gerade abstromige Kante 474 und parallele Seitenwände 468. Diese Konfiguration kanalisiert den durch den Injektor 444 erzeugten Gasstrom ohne bedeutende Störung, bis er aus der Leitung 464 in einer Ebene gerade aufstromig von dem Suszeptor 442 herauskommt. Das Gas entspannt sich dann leicht gegen die Kammerwände, wenn es nicht durch die Wände der Leitung 464 beengt wird. Ver änderungen in der Gestalt der Leitung 464 und in der Gestalt der Auslaßkante können verwendet werden, um den Gasstrom ferner zu lenken und zu formen. Solche Veränderungen werden in den 38b bis f gezeigt.
  • 38b zeigt eine Leitung mit Seitenwänden 476, die sich nach innen in der Richtung des Gasstromes verjüngen. Die obere Wand hat somit eine abstromige Kante 478, die kleiner ist als eine aufstromige Kante 480. Dieser konvergierende Kanalaufbau fokussiert den Gasstrom nach innen so, daß die darauf folgende Ausdehnung gegen die Kammerwände nach dem Verlassen der Grenzen der Leitung verzögert wird.
  • 38c zeigt eine abstromige Kante 482, die konkav ist, wie man aus dem Suszeptor 442 sieht. Wenn der Gasstrom die abstromige Kante passiert, kann er zuerst in dem mittleren Teil expandieren und somit eine gewisse Diffusion des Flusses nach innen erlauben und den Fluß in der Mitte erhöhen.
  • 38d zeigt eine abstromige Kante 484, die von dem Suszeptor 442 aus gesehen konvex ist. Der Gasfluß kann, wenn er an der abstromigen Kante vorbeigeht, zuerst in den äußeren Teilen expandieren und somit eine gewisse Diffusion des Flusses nach außen erlauben und den Fluß in der Mitte erhöhen.
  • 38e zeigt eine abstromige Kante mit gewinkelten Teilen 486, welche in einer Ecke 488 enden. Die Seitenkanten der Leitung erstrecken sich weiter als die Mitte der oberen Wand, womit so eine ähnliche Gasflußwirkung wie bei der konkaven Version der 38c mit einem erhöhten Fluß durch die Mitte der Kammer herbeigeführt wird.
  • 38f zeigt schließlich eine abstromige Kante mit gewinkelten Teilen 490, die in einem Scheitel 492 enden. Die Seitenkanten der Leitung enden vor der Mitte der oberen Wand, womit so ein ähnlicher Gasflußeffekt wie bei der konvexen Version der 38d mit einem verminderten Fluß durch die Mitte der Kammer herbeigeführt wird.
  • Obwohl die Kammer speziell für die Abscheidung aus der Gasphase (CVD) geeignet ist, können andere Behandlungen, welche saubere Öfen benötigen, von ihr profitieren. Zum Beispiel können Glühen, Ätzen, plasmagestützte Deposition und andere solche Verfahren alle die vorliegende Kammer in ihrer wesentlichen Form mit geeigneten Modifikationen verwenden.
  • Obwohl die Erfindung für bestimmte bevorzugte Ausführungsformen beschrieben wurde, befinden sich andere Ausführungsformen, welche dem Fachmann offensichtlich sind, auch innerhalb des Rahmens dieser Erfindung. Entsprechend soll der Rahmen der Erfindung durch die folgenden Ansprüche bestimmt werden.

Claims (6)

  1. Vorrichtung für die chemische Aufdampfung, die aufweist: Wände, die eine Aufdampfungskammer (388) definieren mit einem Einlaß für Aufdampfungsgas an einem stromaufwärtigen Ende und einem Gasauslaß an einem stromabwärtigen Ende, einen Suszeptor (394), der horizontal in der Kammer zwischen dem Gaseinlaß und dem Gasauslaß positioniert ist, für das Aufnehmen eines Halbleitersubstrates für die Zwecke der Aufdampfung und eine horizontal orientierte Platte (390), die in der Kammer zwischen dem Suszeptor und dem Gasauslaß positioniert ist, wobei sich die Platte entlang der Kammer über die Breite des Suszeptors erstreckt, wobei die Kammerwand neben der Platte im allgemeinen transparent gegenüber Strahlungsenergie ist und die Platte ein guter Absorber von Strahlungsenergie ist, so daß nicht verwendetes Aufdampfungsgas sich leichter auf der Platte als auf der Kammerwand abscheidet.
  2. Vorrichtung nach Anspruch 1, die einen Ring (418) beinhaltet, der den Suszeptor umgibt, wobei eine stromaufwärtige Kante (392) der Platte im allgemeinen auf die Form einer stromabwärtigen Kante des Ringes angepaßt ist.
  3. Vorrichtung nach Anspruch 1 oder 2, wobei die besagte Platte im wesentlichen horizontal mit dem Suszeptor ausgerichtet positioniert ist.
  4. Vorrichtung nach einem der vorherigen Ansprüche, wobei sich die Platte näherungsweise zu dem Auslaß erstreckt.
  5. Vorrichtung nach einem der vorherigen Ansprüche, die außerhalb der Kammer Strahlungsheizungslampen (396) beinhaltet, die sich oberhalb der Platte erstrecken, wobei das abstromige Ende des Plattenendes etwa bei derselben abströmigen Position wie die Lampen endet.
  6. Verfahren für die Verwendung in einer Aufdampfvorrichtung, die eine Aufdampfungskammer (388) beinhaltet mit einem Suszeptor (394), der hierin zwischen einem Einlaß und Auslaß für den Gasfluß in die Kammer positioniert ist, wobei das Verfahren die Aufdampfung auf Wänden der Kammer zwischen dem Suszeptor und dem Kammergasauslaß minimiert, und das Positionieren einer Platte (390) in der Kammer zwischen dem Suszeptor und dem Gasauslaß der Kammer aufweist, wobei sich die Platte im allgemeinen parallel zu dem Suszeptor in der Hauptebene eines auf dem Suszeptor zu positionierenden Substrates erstreckt, wobei die Platte aus einem Material gebildet wird, das effizient Wärme zu einem viel größeren Ausmaß absorbiert als die Wand der Kammer neben der Platte, wobei die Aufdampfungsgase, die hinter dem Suszeptor strömen, sich leichter auf der Platte absetzen als auf der kühleren Kammerwand.
DE69628211T 1995-08-03 1996-08-01 Prozesskammer mit innerer Tragstruktur Expired - Fee Related DE69628211T2 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US186395P 1995-08-03 1995-08-03
US1863P 1995-08-03
US54946195A 1995-10-27 1995-10-27
US549461 1995-10-27
US08/637,616 US6093252A (en) 1995-08-03 1996-04-25 Process chamber with inner support
US637616 1996-04-25

Publications (2)

Publication Number Publication Date
DE69628211D1 DE69628211D1 (de) 2003-06-18
DE69628211T2 true DE69628211T2 (de) 2004-02-19

Family

ID=46202902

Family Applications (3)

Application Number Title Priority Date Filing Date
DE69628211T Expired - Fee Related DE69628211T2 (de) 1995-08-03 1996-08-01 Prozesskammer mit innerer Tragstruktur
DE69632597T Expired - Fee Related DE69632597T2 (de) 1995-08-03 1996-08-01 Prozesskammer mit innerer Tragstruktur
DE69612389T Expired - Fee Related DE69612389T2 (de) 1995-08-03 1996-08-01 Prozesskammer mit innerer tragstruktur

Family Applications After (2)

Application Number Title Priority Date Filing Date
DE69632597T Expired - Fee Related DE69632597T2 (de) 1995-08-03 1996-08-01 Prozesskammer mit innerer Tragstruktur
DE69612389T Expired - Fee Related DE69612389T2 (de) 1995-08-03 1996-08-01 Prozesskammer mit innerer tragstruktur

Country Status (6)

Country Link
US (3) US6093252A (de)
EP (2) EP1036860A3 (de)
JP (1) JP4048329B2 (de)
AU (1) AU6645596A (de)
DE (3) DE69628211T2 (de)
WO (1) WO1997006288A1 (de)

Families Citing this family (413)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1050602B1 (de) * 1995-08-03 2004-05-26 ASM America, Inc. Prozesskammer mit innerer Tragstruktur
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
FR2763964B1 (fr) * 1997-05-28 1999-08-13 Sgs Thomson Microelectronics Amelioration du flux gazeux dans un reacteur d'epitaxie
KR20010031714A (ko) * 1997-11-03 2001-04-16 러셀 엔. 페어뱅크스, 쥬니어 수명이 긴 고온 공정 챔버
US6749687B1 (en) 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
DE19821007A1 (de) * 1998-05-11 1999-11-25 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Substraten
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6786935B1 (en) * 2000-03-10 2004-09-07 Applied Materials, Inc. Vacuum processing system for producing components
WO2001078115A2 (en) 2000-04-06 2001-10-18 Asm America, Inc. Barrier coating for vitreous materials
JP4050483B2 (ja) * 2001-05-14 2008-02-20 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US7163587B2 (en) * 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
JP3688264B2 (ja) * 2002-03-20 2005-08-24 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US6825051B2 (en) 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US6927140B2 (en) 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
JP3887291B2 (ja) * 2002-09-24 2007-02-28 東京エレクトロン株式会社 基板処理装置
JP2004207687A (ja) * 2002-12-10 2004-07-22 Sharp Corp 半導体製造装置とそれを用いた半導体製造方法
US7682947B2 (en) * 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
JP4268429B2 (ja) * 2003-03-17 2009-05-27 東京エレクトロン株式会社 基板処理装置および基板処理方法
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
KR20060054387A (ko) * 2003-08-04 2006-05-22 에이에스엠 아메리카, 인코포레이티드 증착 전 게르마늄 표면 처리 방법
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7108753B2 (en) * 2003-10-29 2006-09-19 Asm America, Inc. Staggered ribs on process chamber to reduce thermal effects
US7169233B2 (en) * 2003-11-21 2007-01-30 Asm America, Inc. Reactor chamber
US7021888B2 (en) 2003-12-16 2006-04-04 Universities Research Association, Inc. Ultra-high speed vacuum pump system with first stage turbofan and second stage turbomolecular pump
JP4379585B2 (ja) * 2003-12-17 2009-12-09 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
US6888104B1 (en) 2004-02-05 2005-05-03 Applied Materials, Inc. Thermally matched support ring for substrate processing chamber
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
KR101112029B1 (ko) * 2004-02-13 2012-03-21 에이에스엠 아메리카, 인코포레이티드 자동 도핑 및 후면 증착의 감소를 위한 기판 지지 시스템
JP2005229043A (ja) * 2004-02-16 2005-08-25 Sumitomo Electric Ind Ltd ヒータユニット及び該ヒータを搭載した装置
WO2005084231A2 (en) * 2004-02-27 2005-09-15 Asm Aemrica, Inc. Germanium deposition
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
JP2006093557A (ja) * 2004-09-27 2006-04-06 Sharp Corp 気相成長装置
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
WO2006078666A2 (en) 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
JP2008546078A (ja) * 2005-05-23 2008-12-18 スリーエム イノベイティブ プロパティズ カンパニー 所望の質量重量特性を有する液体を放出するためのマニホールド及びその設計方法
WO2006127578A1 (en) * 2005-05-23 2006-11-30 3M Innovative Properties Company Methods and apparatus for meltblowing of polymeric material utilizing fluid flow from an auxiliary manifold
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
JP2007012734A (ja) * 2005-06-29 2007-01-18 Matsushita Electric Ind Co Ltd プラズマエッチング装置及びプラズマエッチング方法
KR100741975B1 (ko) * 2005-08-25 2007-07-23 삼성에스디아이 주식회사 열처리 장치 및 이를 이용한 열처리 방법
TWI327761B (en) * 2005-10-07 2010-07-21 Rohm & Haas Elect Mat Method for making semiconductor wafer and wafer holding article
DE102005056320A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit einem Gaseinlassorgan
KR101332206B1 (ko) * 2005-12-02 2013-11-25 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. 반도체 처리 방법
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
US7648853B2 (en) 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US7508609B2 (en) * 2006-10-25 2009-03-24 Spectra Logic Corporation Formatted storage media providing space for encrypted text and dedicated space for clear text
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
US9481943B2 (en) * 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
US20080131979A1 (en) * 2006-12-04 2008-06-05 Sumitomo Electric Industries, Ltd. Vapor-Phase Growth System and Vapor-Phase Growth Method
KR100824301B1 (ko) * 2006-12-21 2008-04-22 세메스 주식회사 반응 챔버와 이를 포함하는 탄소나노튜브 합성 장치 및 설비
WO2008092682A1 (en) * 2007-02-01 2008-08-07 Ibp Conex Limited Insertion and release tool for pipe fitting arrangement and method using such tool
US7874726B2 (en) 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US20090095422A1 (en) * 2007-09-06 2009-04-16 Hitachi Kokusai Electric Inc. Semiconductor manufacturing apparatus and substrate processing method
US7807222B2 (en) 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20100199914A1 (en) * 2007-10-10 2010-08-12 Michael Iza Chemical vapor deposition reactor chamber
US20090101633A1 (en) * 2007-10-19 2009-04-23 Asm America, Inc. Reactor with small linear lamps for localized heat control and improved temperature uniformity
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7993057B2 (en) 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
KR20100114037A (ko) * 2007-12-20 2010-10-22 어플라이드 머티어리얼스, 인코포레이티드 향상된 가스 유동 분포를 가진 열 반응기
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP5060324B2 (ja) * 2008-01-31 2012-10-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び処理容器
DE102008017784B4 (de) * 2008-04-08 2014-04-17 Ivoclar Vivadent Ag Vorrichtung zum Anfertigen einer Muffel
US20090280248A1 (en) * 2008-05-06 2009-11-12 Asm America, Inc. Porous substrate holder with thinned portions
US7946762B2 (en) 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
EP2294608B1 (de) * 2008-06-30 2017-04-19 Soitec Modulare und konfigurationsbereite reaktorgehäuse
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8394229B2 (en) * 2008-08-07 2013-03-12 Asm America, Inc. Susceptor ring
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US8801857B2 (en) 2008-10-31 2014-08-12 Asm America, Inc. Self-centering susceptor ring assembly
US8209833B2 (en) * 2008-11-07 2012-07-03 Tokyo Electron Limited Thermal processing system and method of using
WO2010053866A2 (en) * 2008-11-07 2010-05-14 Asm America, Inc. Reaction chamber
US8512472B2 (en) * 2008-11-13 2013-08-20 Applied Materials, Inc. Method and apparatus to enhance process gas temperature in a CVD reactor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
KR101028410B1 (ko) * 2008-12-29 2011-04-13 주식회사 케이씨텍 서셉터 및 이를 구비하는 원자층 증착장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
IT1393695B1 (it) * 2009-04-17 2012-05-08 Lpe Spa Camera di reazione di un reattore epitassiale e reattore che la utilizza
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9885123B2 (en) 2011-03-16 2018-02-06 Asm America, Inc. Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
TWI565825B (zh) * 2012-06-07 2017-01-11 索泰克公司 沉積系統之氣體注入組件及相關使用方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9748120B2 (en) 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
WO2014103728A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 成膜装置
US9583364B2 (en) 2012-12-31 2017-02-28 Sunedison Semiconductor Limited (Uen201334164H) Processes and apparatus for preparing heterostructures with reduced strain by radial compression
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
TWI502096B (zh) * 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
US10047457B2 (en) * 2013-09-16 2018-08-14 Applied Materials, Inc. EPI pre-heat ring
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9530623B2 (en) * 2013-11-26 2016-12-27 Applied Materials, Inc. Process chamber apparatus, systems, and methods for controlling a gas flow pattern
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
KR101525210B1 (ko) * 2013-12-20 2015-06-05 주식회사 유진테크 기판 처리장치
SG11201606004PA (en) 2014-02-14 2016-08-30 Applied Materials Inc Upper dome with injection assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
TWI537083B (zh) * 2014-03-18 2016-06-11 永發欣業有限公司 加熱單元及包含其之真空焊接機
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
TWI571529B (zh) * 2015-12-18 2017-02-21 國立清華大學 具導流板之封閉式流道反應槽系統
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) * 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR20180122023A (ko) * 2016-03-28 2018-11-09 어플라이드 머티어리얼스, 인코포레이티드 서셉터 지지부
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
USD793352S1 (en) * 2016-07-11 2017-08-01 Asm Ip Holding B.V. Getter plate
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10840114B1 (en) * 2016-07-26 2020-11-17 Raytheon Company Rapid thermal anneal apparatus and method
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180363139A1 (en) * 2017-06-20 2018-12-20 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10919014B2 (en) * 2018-04-09 2021-02-16 Craig Technical Consulting, Inc. High-load vacuum chamber motion feedthrough systems and methods
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020046567A1 (en) 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
CN112331609B (zh) * 2020-10-26 2023-12-22 北京北方华创微电子装备有限公司 半导体工艺设备中的加热基座及半导体工艺设备
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112831771A (zh) * 2020-12-30 2021-05-25 上海埃原半导体设备有限公司 一种化学气相沉积用的非金属反应腔
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113718332A (zh) * 2021-08-30 2021-11-30 北京北方华创微电子装备有限公司 半导体设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023047552A1 (ja) * 2021-09-24 2023-03-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN115197576B (zh) * 2022-07-12 2024-04-09 苏州昌和应用材料有限公司 压合机用硅胶垫及其制造方法、热风循环烘箱

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3560166A (en) * 1968-02-05 1971-02-02 Dow Chemical Co System for treatment of substrates by a plurality of fluid reactants
US3627590A (en) * 1968-12-02 1971-12-14 Western Electric Co Method for heat treatment of workpieces
US3598082A (en) * 1969-08-14 1971-08-10 Texas Instruments Inc Continuous epitaxial deposition system
US3744964A (en) * 1971-05-28 1973-07-10 Texas Instruments Inc High temperature diffusion tube
US3830194A (en) * 1972-09-28 1974-08-20 Applied Materials Tech Susceptor support structure and docking assembly
DE2343499C3 (de) * 1973-08-29 1980-07-10 Schladitz-Whiskers Ag, Zug (Schweiz) Verfahren und Vorrichtung zum Metallisieren von bewegtem flächigem Gut
US4076859A (en) * 1973-08-29 1978-02-28 Schladitz-Whiskers Ag Process for metallizing strips, sheets or the like
US3956860A (en) * 1974-05-20 1976-05-18 Polycyl (Engineering) Limited Construction of containers or tanks
US4108108A (en) * 1974-07-10 1978-08-22 Schladitz-Whiskers Ag. Apparatus for metallizing strips, sheets or the like
US4188519A (en) * 1978-03-20 1980-02-12 Pyreflex Corporation Process and apparatus for controllably exchanging heat between two bodies
JPS57198620A (en) * 1981-06-01 1982-12-06 Fujitsu Ltd Vapor growth of compound semiconductor
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
JPS59928A (ja) * 1982-06-25 1984-01-06 Ushio Inc 光加熱装置
US4545327A (en) * 1982-08-27 1985-10-08 Anicon, Inc. Chemical vapor deposition apparatus
US4886449A (en) * 1982-12-04 1989-12-12 General Motors Corporation Vacuum brazing of aluminum alloy workpieces
JPS59112614A (ja) * 1982-12-17 1984-06-29 Matsushita Electric Ind Co Ltd 気相成長装置
JPS59223294A (ja) * 1983-06-01 1984-12-15 Matsushita Electric Ind Co Ltd 気相成長装置
US4539933A (en) * 1983-08-31 1985-09-10 Anicon, Inc. Chemical vapor deposition apparatus
US4590024A (en) * 1984-03-29 1986-05-20 Solavolt International Silicon deposition process
JPS6153197A (ja) * 1984-08-18 1986-03-17 Matsushita Electric Ind Co Ltd 結晶成長装置
JPS62166624U (de) * 1986-04-14 1987-10-22
EP0251764B1 (de) * 1986-06-30 1996-03-27 Nihon Sinku Gijutsu Kabushiki Kaisha Verfahren und Vorrichtung zum Abscheiden aus der Gasphase
EP0255454A3 (de) * 1986-07-26 1991-11-21 Nihon Shinku Gijutsu Kabushiki Kaisha Anordnung für chemischen Dampfniederschlag
JPS63153388A (ja) * 1986-08-23 1988-06-25 東レ株式会社 熱処理炉
US4839145A (en) * 1986-08-27 1989-06-13 Massachusetts Institute Of Technology Chemical vapor deposition reactor
US4807562A (en) * 1987-01-05 1989-02-28 Norman Sandys Reactor for heating semiconductor substrates
US5091219A (en) * 1987-02-17 1992-02-25 Lam Research Corporation Chemical vapor deposition method
US4821674A (en) * 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5221556A (en) * 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5096534A (en) * 1987-06-24 1992-03-17 Epsilon Technology, Inc. Method for improving the reactant gas flow in a reaction chamber
DE3721636A1 (de) * 1987-06-30 1989-01-12 Aixtron Gmbh Quarzglasreaktor fuer mocvd-anlagen
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPS6453544A (en) * 1987-08-25 1989-03-01 Mitsubishi Electric Corp Semiconductor manufacturing apparatus
US5092728A (en) * 1987-10-15 1992-03-03 Epsilon Technology, Inc. Substrate loading apparatus for a CVD process
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
GB2213837B (en) * 1987-12-22 1992-03-11 Philips Electronic Associated Electronic device manufacture with deposition of material
DE3807302A1 (de) * 1988-03-05 1989-09-14 Dornier Gmbh Spiegelofen
US4993360A (en) * 1988-03-28 1991-02-19 Kabushiki Kaisha Toshiba Vapor growth apparatus having a diffuser section containing a flow regulating member
KR0155545B1 (ko) * 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
JPH0225577A (ja) * 1988-07-15 1990-01-29 Mitsubishi Electric Corp 薄膜形成装置
US4920918A (en) * 1989-04-18 1990-05-01 Applied Materials, Inc. Pressure-resistant thermal reactor system for semiconductor processing
JPH0633233B2 (ja) * 1989-10-13 1994-05-02 住友金属工業株式会社 気相成長装置
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5179677A (en) * 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
DE69229870T2 (de) 1991-09-27 2000-05-04 Komatsu Denshi Kinzoku Kk Vorrichtung zum einführen von gas, sowie gerät und verfahren zum epitaxialen wachstum.
DE4132204C2 (de) * 1991-09-27 1999-11-04 Leybold Ag Vorrichtung zur Reduzierung der Durchbiegung von Außenwänden an Vakuumbehältern
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
US5455069A (en) * 1992-06-01 1995-10-03 Motorola, Inc. Method of improving layer uniformity in a CVD reactor
US5370736A (en) 1992-10-26 1994-12-06 Texas Instruments Incorporated Horizontal reactor hardware design
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
JPH06151338A (ja) * 1992-11-06 1994-05-31 Sumitomo Metal Ind Ltd 気相成長装置
US5292554A (en) 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5271963A (en) * 1992-11-16 1993-12-21 Materials Research Corporation Elimination of low temperature ammonia salt in TiCl4 NH3 CVD reaction
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
JP3292540B2 (ja) * 1993-03-03 2002-06-17 東京エレクトロン株式会社 熱処理装置
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5421401A (en) * 1994-01-25 1995-06-06 Applied Materials, Inc. Compound clamp ring for semiconductor wafers
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
JPH08316154A (ja) * 1995-02-23 1996-11-29 Applied Materials Inc 疑似ホットウォール反応チャンバ
US5685906A (en) * 1995-03-23 1997-11-11 Seh America, Inc. Method and apparatus for configuring an epitaxial reactor for reduced set-up time and improved layer quality
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
DE69732722T2 (de) * 1996-03-22 2006-02-02 Taiyo Nippon Sanso Corporation CVD Verfahren
FR2763964B1 (fr) * 1997-05-28 1999-08-13 Sgs Thomson Microelectronics Amelioration du flux gazeux dans un reacteur d'epitaxie
KR20010031714A (ko) * 1997-11-03 2001-04-16 러셀 엔. 페어뱅크스, 쥬니어 수명이 긴 고온 공정 챔버
WO2001078115A2 (en) * 2000-04-06 2001-10-18 Asm America, Inc. Barrier coating for vitreous materials

Also Published As

Publication number Publication date
US6608287B2 (en) 2003-08-19
DE69632597D1 (de) 2004-07-01
JPH11510562A (ja) 1999-09-14
US6093252A (en) 2000-07-25
EP1036860A3 (de) 2000-12-06
DE69628211D1 (de) 2003-06-18
DE69612389D1 (de) 2001-05-10
WO1997006288A1 (en) 1997-02-20
US20020179586A1 (en) 2002-12-05
EP1036860A2 (de) 2000-09-20
EP0852628B1 (de) 2001-04-04
DE69612389T2 (de) 2001-10-11
US6464792B1 (en) 2002-10-15
JP4048329B2 (ja) 2008-02-20
AU6645596A (en) 1997-03-05
DE69632597T2 (de) 2005-06-09
EP0852628A1 (de) 1998-07-15

Similar Documents

Publication Publication Date Title
DE69628211T2 (de) Prozesskammer mit innerer Tragstruktur
DE69838484T2 (de) Hochtemperatur-prozesskammer mit langer lebensdauer
DE60124952T2 (de) Ausnehmungsprofil eines suszeptors zum verbessern des prozesses
DE69433656T2 (de) Verfahren zum Einleiten reaktiven Gases in eine Substratbearbeitungsvorrichtung
DE69731199T2 (de) Verfahren und einrichtung zur berührungslose behandlung eines scheiben förmiges halbleitersubstrats
EP0852571B1 (de) Verfahren zur herstellung von quarzglaskörpern
DE69835105T2 (de) System zur Temperaturreglung eines Wafers
EP1322801B1 (de) Cvd-verfahren und gaseinlassorgan zur durchführung des verfahrens
DE112018001223B4 (de) Epitaxialwachstumsvorrichtung, Vorwärmring und Verfahren zum Herstellen von Epitaxialwafern unter Verwendung dieser
EP1618227B1 (de) Verfahren und vorrichtung zum abscheiden von halbleiterschichten mit zwei prozessgasen, von denen das eine vorkonditioniert ist
DE3608972C2 (de)
DE60302214T2 (de) Pumpenanschlusstück für prozesskammer für einzelne halbleiterscheiben frei von emissivitätsänderungen
DE112007000345T5 (de) Suszeptor und Einrichtung zur Herstellung eines Epitaxie-Wafers
DE60006095T2 (de) Reaktorkammer für einen epitaxiereaktor
DE3343652A1 (de) Brennerflammrohr und verfahren zur herstellung desselben
DE102008036642A1 (de) Sprühkopf und CVD-Vorrichtung, welche diesen aufweist
DE112012000726T5 (de) Suszeptor und Verfahren zum Herstellen eines Epitaxialwafers unter Verwendung desselben
DE102004058547B4 (de) Verfahren und eine Vorrichtung zur Herstellung von Einkristallen mit großem Durchmesser
DE69934494T2 (de) Infrarot-durchsichtiges deckelement für einen thermischen reaktor
EP1127176B1 (de) Vorrichtung zum herstellen und bearbeiten von halbleitersubstraten
DE2827304A1 (de) Brenner
DE3634130A1 (de) Vorrichtung und verfahren fuer die chemische dampfabscheidung
WO1993005205A1 (de) Mehrteiliger stütztiegel
DE2226939A1 (de) Brenner
DE102005018162A1 (de) Halbleiterbehandlungsvorrichtung für ein CVD-Verfahren

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee