DE60124952T2 - Ausnehmungsprofil eines suszeptors zum verbessern des prozesses - Google Patents

Ausnehmungsprofil eines suszeptors zum verbessern des prozesses Download PDF

Info

Publication number
DE60124952T2
DE60124952T2 DE60124952T DE60124952T DE60124952T2 DE 60124952 T2 DE60124952 T2 DE 60124952T2 DE 60124952 T DE60124952 T DE 60124952T DE 60124952 T DE60124952 T DE 60124952T DE 60124952 T2 DE60124952 T2 DE 60124952T2
Authority
DE
Germany
Prior art keywords
substrate
support surface
wafer
holder
volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60124952T
Other languages
English (en)
Other versions
DE60124952D1 (de
Inventor
G. Matthew Chandler GOODMAN
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Application granted granted Critical
Publication of DE60124952D1 publication Critical patent/DE60124952D1/de
Publication of DE60124952T2 publication Critical patent/DE60124952T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Description

  • Gebiet der Erfindung
  • Die Erfindung betrifft allgemein Suszeptoren zum Halten von Halbleitersubstraten in Bearbeitungskammern und genauer das Ausgestalten von Waferhalteroberflächen, um eine einheitliche Substrattemperatur aufrecht zu erhalten.
  • Hintergrund und Zusammenfassung der Erfindung
  • Halbleiterherstellungsverfahren werden typischerweise so durchgeführt, dass wobei das Substrat innerhalb einer Kammer unter kontrollierten Bedingungen gehalten wird. Für viele Verfahren werden Halbleitersubstrate (z.B. Siliziumwafer) innerhalb der Bearbeitungskammer erhitzt. Beispielsweise können Substrate durch direkten physischen Kontakt mit einem erhitzten Waferhalter und/oder durch Strahlung von einer Strahlungswärmequelle erhitzt werden. "Suszeptoren" sind beispielsweise Waferhalter, die Strahlungswärme aufnehmen und absorbierte Wärme an das Substrat weiterleiten.
  • In einem typischen Verfahren wird ein Reaktanzglas über einen erhitzten Wafer geleitet, wobei eine chemische Dampfabscheidung (CVD) einer dünnen Schicht eines Reaktanzmaterials auf dem Wafer verursacht wird. Durch nacheinander folgendes Bearbeiten werden mehrere Schichten in integrierten Schaltkreisen hergestellt. Andere beispielhafte Verfahren enthalten die Sputter-Abscheidung, Photolithographie, das Trockenätzen, die Plasmabearbeitung und das Hochtemperaturanlassen. Viele dieser Verfahren erfordern hohe Temperaturen und können in ähnlichen Reaktionskammern durchgeführt werden.
  • Verschiedene Prozessparameter müssen sorgfältig kontrolliert werden, um eine hohe Qualität der abgeschiedenen dünnen Schichten sicherzustellen. Ein kritischer Parameter ist die Temperatur des Wafers während der Bearbeitung. Während der CVD beispielsweise gibt es einen charakteristischen Temperaturbereich, in dem die Prozessgase am effektivsten für die Abscheidung eines dünnen Films auf dem Wafer reagieren. Die Temperaturkontrolle ist insbesondere bei Temperaturen unterhalb des Stoffübergangszustands kritisch, wie beispielsweise Silizium-CVD zwischen etwa 500°C oder 900°C (kinetischer Zustand etwa 500°C bis 900°C für Silizium-CVD unter Verwendung von Silan). In diesem kinetischen Zustand wird die abgeschiedene Filmdicke ungleichmäßig, wenn die Temperatur über die Oberfläche des Wafers nicht gleichmäßig ist.
  • In jüngster Zeit fand die Einzelwaferbearbeitung von Wafern großen Durchmessers weithin Verwendung aus einer Reihe von Gründen, enthaltend die Notwendigkeit einer größeren Präzision bei der Prozesskontrolle als sie erreicht werden kann mit einer Massenbearbeitung. Typische Wafer bestehen aus Silizium üblicherweise mit einem Durchmesser von etwa 150 mm (6 Zoll) oder etwa 200 mm (8 Zoll) und mit einer Dicke von etwa 0,725 mm. Kürzlich wurden größere Siliziumwafer mit einem Durchmesser von etwa 300 mm (12 Zoll) und einer Dicke von etwa 0,775 mm eingeführt, da sie noch wirksamer die Vorteile der Einzelwaferbearbeitung zeigen. Noch größere Wafer werden in der Zukunft erwartet.
  • Der Erfinder fand zahlreiche Qualitätskontrollprobleme, die ein Ertragsergebnis beeinflussen, aus der Behandlung von Problemen in Verbindung mit den Suszeptoren, enthaltend das Gleiten, Haften und Verwinden des Substrats. Diese treten während des Anordnens und nachfolgenden Entfernens der Substrate in Bearbeitungskammern hoher Temperatur auf.
  • Ein Gleiten tritt während des Herausfallens auf, wenn ein Gaskissen in der Suszeptorausnehmung oder -tasche nicht schnell genug entweichen kann, um es dem Substrat zu ermöglichen, unmittelbar auf den Suszeptor zu fallen. Das Substrat fließt vorübergehend über dem Suszeptor, wenn das Gas langsam entweicht, und es neigt dazu, von dem Zentrum wegzugleiten. Daher kann das Substrat nicht in dem Zentrum der Tasche, wo es beabsichtigt wurde, verbleiben, und es kann sich ein ungleichmäßiges Erhitzen des Substrats ergeben. Ein Gleiten zu dem Rand der Suszeptortasche verursacht eine örtliche Kühlung, wo das Substrat den Taschenrand berührt und resultiert in einer schlechten Dickengleichmäßigkeit, in einer schlechten Gleichmäßigkeit des spezifischen Wiederstandes und in einem kristallographischen Gleiten, in Abhängigkeit von der Art der Schicht, die abgeschieden wird. Diese Ungleichmäßigkeiten in Folge der nicht gleichbleibenden Waferabwurfposition erhöhen stark die Schwierigkeit einer optimalen Anpassung des Verfahrens. In ähnlicher Weise können Ungleichmäßigkeiten der Temperatur Ungleichmäßigkeiten beim Ätzen, Anlassen, Dotieren, Oxidieren, Nitrieren und anderen Fertigungsverfahren verursachen.
  • Umgekehrt tritt während der Aufnahme ein Haften auf, sobald das Substrat auf dem darunter liegenden Träger festsitzt, da das Gas langsam in den kleinen Raum zwischen dem Wafer und der Taschenoberfläche strömt. Dies erzeugt einen Vakuumeffekt zwischen dem Substrat und dem Träger, sobald das Substrat angehoben wird. Das Haften ist ein möglicher Beitrag zur Partikelkontamination und in extremen Fällen verursachte es ein Anhaben des Substrathalters im Bereich von 1 bis 2 mm.
  • Das Verwinden ist ein Verziehen des Substrats, verursacht durch eine Kombination von beidem, radialen und axialen Temperaturgradienten in dem Substrat. Ein starkes Verwinden kann verursachen, dass das Substrat den Boden einer Bernoulliplatte berührt und kann in ähnlicher Weise die Interaktion mit anderen Roboter-Endeffektoren beeinflussen. Im Falle einer Bernoulliplatte kann die Oberseite des Substrats die Bernoulliplatte zerkratzen und eine Teilchenkontamination hervorrufen, wobei signifikant der Ertrag reduziert wird. Das Design und die Funktion einer Bernoulliplatte sind in dem US-Patent Nummer 5,997,588 beschrieben.
  • Die japanische Patentanmeldung Nummer 11122780 mit der Veröffentlichungsnummer 2000315720 offenbart einen Halbleiterwaferhalter mit einer ausgesparten Tasche mit einer konkaven Stützfläche und radial ausgerichteten Nuten in der konkaven Stützfläche. Die japanische Patentanmeldung Nummer 06288357 mit der Veröffentlichungsnummer 08148541 offenbart ein Waferhalteelement mit einer konkaven Oberfläche, die mit der Oberseite nach unten gerichtet ist, angepasst, um einen Wafer durch Unterdruck zu halten. Die konkave Oberfläche enthält untere Enden von Luftkanälen innerhalb des Waferhalteelements, wobei die Luftkanäle mit einer druckvermindernden Einheit verbunden sind, um den Unterdruck zu erzeugen. Die japanische Patentanmeldung Nummer 05146486 mit der Veröffentlichungsnummer 07018438 offenbart eine elektrostatische Spannvorrichtung, enthaltend eine flache Elektrode, bedeckt mit einem Isoliermaterial, um eine obere unebene Fläche zu bilden, die durch kreisförmige Merkmale definiert ist.
  • Die 1A und 1B zeigen einen Wafer 1, getragen auf einem Suszeptor 100, wobei der Suszeptor 100 eine Gittertragfläche G aufweist. Unter Bezug zunächst auf 1A ist ein Teil des Wafers 1 dicht an einem Umfangsrand 2 davon auf dem Gitter G gezeigt. Eine obere Fläche des Gitters G ist durch eine Vielzahl von Vorsprüngen 3 definiert, getrennt von einander in zwei Richtungen durch eine Vielzahl von Gitternuten. Diese Vorsprünge 3 sind in Bezug auf die obere Fläche einer ringförmigen Schulter 4, die das Gitter umgibt, ausgespart. Für einen 200 mm-Wafer liegt die Tiefe einer solchen Vertiefung oder Tasche bei etwa 0,457 mm (0,018 Zoll), während die Dicke eines 200 mm Wafers bei etwa 7,24 mm (0,285 Zoll) liegt. Daher erhebt sich die oberste Fläche des Wafers 11 leicht über die obersten Fläche der Schulter 4, was dazu beiträgt, die laminare Gasströmung über dem Wafer aufrecht zu erhalten. Ein äußerer Umfang 5 des Gitters G ist von einem inneren Rand 6 der Schulter 4 durch eine ringförmige Nut 7 getrennt, die im Querschnitt etwa halbkreisförmig ist. Die Tiefe der ringförmigen Nut 7 in den Suszeptor 100 hinein liegt etwa bei demselben Wert wie die Tiefe der Gitternuten. Der Durchmesser des inneren Randes 6 der Schulter 4 ist etwas größer als der Durchmesser des Wafers 1, um Toleranzen bei der Positionierung des Wafers in der Tasche zu gestatten. Ähnliche mit einem Gitter versehene Suszeptoren sind auf dem Markt erhältlich von ASM America, Inc. aus Phoenix, AZ, für die Verwendung in ihren EpsilonTM-Reihen aus CVD-Reaktionskammern.
  • In 1A ist der Wafer 1 über der Tasche zentriert mit einem gleichen Abstand zwischen dem Waferrand 2 und dem Schulterrand 6 um den gesamten Wafer herum. Jedoch neigt der Wafer 1, wie in 1B gezeigt, nach dem anfänglichen Anordnen dazu, zu gleiten und/oder zu springen und sein äußerer Rand 2 berührt häufig den inneren Rand 6 der Schulter 4 oder gelangt dicht an diesen heran. Die Schulter 4 ist dicker und daher im Allgemeinen kühler als der Wafer 1 und das darunter liegende Gitter G. Als Ergebnis neigt der Rand 2 des Wafers dazu, durch Wärmeleitung abzukühlen. Der Waferrand 2 verliert ebenfalls an Wärme durch Strahlung, wenn er sehr nah an dem Schulterrand 6 liegt, sogar, wenn sie nicht tatsächlich einander berühren.
  • Ein Kühlen am Waferrand führt dazu, dass die Temperatur des Wafers ungleichmäßig wird. Vorausgesetzt, dass dünne Filmabscheideraten (und viele andere Herstellungsverfahren) stark temperaturabhängig sind, insbesondere für CVD im kinetischen Zustand, werden die Filmdicke und der spezifische Widerstand ungleichmäßig über einen Wafer hinweg sein, der unter Bedingungen einer Temperaturungleichmäßigkeit hergestellt wurde. Folglich gibt es ein Erfordernis für einen verbesserten Substrathalter, der die Aufnahme und das Abwerfen des Substrats erleichtert, während er die Temperaturgleichmäßigkeit unterstützt.
  • In Erfüllung dieses Erfordernisses ist ein Substrathalter, der eine konkave Stützfläche gemäß der Erfindung, wie sie im Anspruch 1 definiert ist, enthält, dadurch gekennzeichnet, dass die Stützfläche definiert ist durch Höhen einer Vielzahl von Vorsprüngen, wobei die Vorsprünge getrennt sind durch eine Vielzahl von sich kreuzenden Gitternuten, wobei die Stützfläche eine Konkavität zwischen etwa 0,130 mm und 0,500 mm aufweist, wobei die Konkavität gemessen wird als eine Tiefe von einem Umfangsrand der Stützfläche zu einem zentralen Punkt innerhalb der Stützfläche. Der Substrathalter ist mit einem Gitter von Nuten versehen, die sich in eine konkave Fläche erstrecken, die ein im Wesentlichen flaches Substrat, wie beispielsweise einen Siliziumwafer, zur Bearbeitung halten kann. Die Konkavität und Nuten sind ausgebildet, um ein Haften, Gleiten und Verwinden zu minimieren, während sie weiterhin erwünschte thermische Eigenschaften aufrechterhalten. Verfahren zum Konfigurieren des Halters und zum Stützen eines Substrats darauf werden ebenfalls vorgesehen. Gemäß der vorliegenden Erfindung ist das Verfahren zur Herstellung eines Substrathalters, um ein Gleiten eines Substrats während des Abwerfens zu vermeiden, enthaltend das Formen einer ringförmigen Schulter um eine vertiefte Tasche herum in einem Material, das geeignet ist zum Absorbieren von Strahlungsenergie dadurch gekennzeichnet, dass das Verfahren weiter enthält: das Formen einer oberen Fläche der Tasche als eine konkave Stützfläche mit einer Konkavität zwischen etwa 0,130 mm und 0,500 mm, wobei die Konkavität gemessen ist als eine Tiefe von einem Umfangsrand der Tasche zu einem zentralen Punkt innerhalb der Tasche; und das Schneiden von sich kreuzenden Gitternuten in die konkave Fläche.
  • Gemäß einem anderen Aspekt der Erfindung werden Zentrierpositionierhilfsvorrichtungen vorgesehen, angeordnet radial entlang einem Innenrand der ringförmigen Schulter eines Substratshalters. Die Zentrierpositionierhilfsvorrichtungen errichten einen Abstand zwischen dem Substrat und der ringförmigen Schulter, um einen direkten thermischen Kontakt dazwischen zu vermeiden.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Merkmale und Vorteile der vorliegenden Erfindung werden offensichtlich aus der detaillierten Beschreibung der bevorzugten Ausführungsformen, die hier folgen, wenn sie zusammen mit den beigefügten Zeichnungen betrachtet werden, die dazu gedacht sind, die Erfindung zu veranschaulichen und nicht einzuschränken.
  • 1A ist eine schematische Querschnittsansicht eines Wafers, der auf einem Suszeptor zentriert ist.
  • 1B ist ein schematischer Querschnitt eines Wafers, angeordnet außerhalb des Zentrums auf dem Suszeptor gemäß 1A.
  • 2 ist eine schematische Querschnittsansicht einer beispielhaften Reaktionskammer mit einem Wafer, der auf einem Suszeptor darin gehalten ist.
  • 3A ist eine Draufsicht auf einen Suszeptor mit einer mit einem Gitter versehenen Tasche zum Halten eines Wafers, aufgebaut gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung.
  • 3B ist eine Unteransicht des Suszeptors gemäß 3A.
  • 3C ist eine teilweise Schnittansicht des Suszeptors gemäß 3A, entlang der Linie 3C-3C gemäß 3A.
  • 3D ist eine vergrößerte Querschnittsansicht eines Abschnitts des Stützgitters gemäß 3C.
  • 4 ist eine schematische Querschnittsansicht eines Abschnitts des Suszeptors gemäß 3A mit einer übertriebenen Konkavität in der Ta schenfläche, um eine bevorzugte Ausführungsform der vorliegenden Erfindung zu zeigen.
  • 5 ist eine schematische Querschnittsdarstellung, die ein eingeschlossenes Volumen zwischen einem abgestützten Wafer und dem Suszeptor gemäß 3A zeigt.
  • 6A ist eine schematische perspektivische und teilweise geschnittene Ansicht eines Wafers, der auf dem Suszeptor gemäß 3C abgestützt ist.
  • 6B ist eine vergrößerte Querschnittsansicht des eingekreisten Bereichs in 6A, die das Stützgitter unter einem Umfang des Wafers zeigt. Der Querschnitt folgt der Kurve des Waferrandes.
  • 7A ist eine perspektivische Ansicht eines Abschnitts eines Suszeptors, aufgebaut gemäß einer anderen Ausführungsform der Erfindung, wobei der äußere Rand einer Zentrierpositionierhilfsvorrichtung in Kontakt mit der Schulter des Suszeptors steht.
  • 7B ist eine perspektivische Ansicht eines Abschnitts eines Suszeptors, der gemäß einer Ausführungsform der Erfindung aufgebaut ist, wobei der äußere Rand einer Zentrierpositionierhilfsvorrichtung mit Abstand nach innen von der Suszeptorschulter angeordnet ist.
  • 8 ist eine schematische ebene Ansicht eines Wafers, der auf einem Suszeptor durch eine Vielzahl von Positionierhilfsvorrichtungen gemäß 7B zentriert ist.
  • Detaillierte Beschreibung der bevorzugten Ausführungsform
  • Wie im Abschnitt Hintergrund und Zusammenfassung oben erwähnt, hat der Erfinder signifikante Probleme im Hinblick auf die Übergabe von Substraten auf und von herkömmlichen Substrathaltern entdeckt. Beim Abwerfen von Substraten auf die Halter macht es das Substratgleiten schwierig, das Substrat ordnungsgemäß im Zentrum des Halters mit einer guten Reproduzierbarkeit anzuordnen. Sobald der Halter erhitzt wird, insbesondere, wenn der Halter ein erhitzter Suszeptor in einem Kaltwandreaktor ist, neigt ein Substrat, das auf den Suszeptor abgeworfen wird, dazu, sich zu verwinden in Folge von Übergangstemperaturunterschieden innerhalb des Substrats. Ein Verwinden kann ein "Springen" verursachen und das Substrat aus seiner gewünschten Position heraus bewegen. In Folge einer unvorhersagbaren Anordnung des Substrats auf dem Suszeptor ist es schwierig, den Temperaturkontrollmechanismus genau für ein gleichmäßiges Erwärmen des Substrats einzustellen, insbesondere für Niedrigtemperaturprozesse.
  • Darüber hinaus kann ein Verwinden ein Zerkratzen des den Wafer handhabenden Endeffektors und ein Abwerfen des Substrats verursachen, was zu einer Partikelkontamination führt. Beim Entfernen des Wafers von dem Halter neigt der Wafer dazu, an dem Halter zu haften (bekannt als "Stiction"), hebt manchmal den Suszeptor an und wirft ihn zurück auf die Stützstruktur, wobei er dabei eine weitere Partikelerzeugung hervorruft. Diese Partikelprobleme können eine Kontamination der gesamten Wafer oder sogar von Waferbatches hervorrufen, was den Ertrag signifikant reduziert.
  • 2 zeigt einen beispielhaften chemischen Dampfabscheidungs(CVD)-Reaktor 10, der eine Quarzreaktionskammer 12 enthält. Strahlungswärmeelemente 14 sind außerhalb der Quarzreaktionskammer 12 abgestützt, um die Kammer 12 mit Wärmeenergie zu versorgen, ohne nennenswerte Absorption durch die Kammerwandungen. Obgleich die bevorzugten Ausführungsformen im Zusammenhang mit einem "Kaltwand"-CVD-Reaktor beschrieben werden, wird verstanden, dass die Waferstützsysteme, die hierin beschrieben sind, ebenfalls bei Reaktoren anderer Art nützlich sind. Insbesondere kann ein Fachmann eine Anwendung für die Waferstützsysteme, die hierin beschrieben sind, für anderes Halbleiterbearbeitungszubehör finden, bei dem ein Substrat abgestützt wird, während es gleichmäßig erwärmt oder abgekühlt wird. Darüber hinaus können die hierin beschriebenen Halter, während sie im Zusammenhang mit Standardsiliziumwafern dargestellt sind, verwendet werden, um andere Arten von Substraten abzustützen, wie beispielsweise Glas, die Behandlungen, wie beispielsweise CVD, physikalischer Dampfabscheidung (PVD), Ätzen, Anlassen, Dosierungssubstanzdiffusion, Photolithographie, etc. unterzogen werden. Die Halter sind von besonderer Nützlichkeit zum Abstützen von Substraten während Behandlungsprozessen bei erhöhten Temperaturen.
  • Das gezeigte Substrat enthält einen Halbleiterwafer 16 mit einem im Wesentlichen kreisförmigen Rand 17, gezeigt in 2, gehalten innerhalb der Reaktionskammer 12 auf einer Waferstützstruktur. Die Stützstruktur enthält einen/eine Waferhalter oder -stütze 200, auf dem/der der Wafer 16 liegt, und ein Armkreuz 22, vorzugsweise hergestellt aus einem Isoliermaterial, das den Waferhalter 200 abstützt. Das Armkreuz 22 ist an einer Welle 24, die sich nach unten durch ein Rohr 26 erstreckt, herabhängend von der unteren Wandung der Kammer, befestigt. In der gezeigten Ausführungsform enthält der Waferhalter 200 einen Suszeptor, der zur Absorption von Strahlungsenergie von den Heizelementen 14 geeignet ist. Es wird bevorzugt, dass die obere Fläche des Suszeptors fest und aus einem Teil hergestellt ist.
  • Ein zentraler/zentrales Temperatursensor oder Thermoelement 28 erstreckt sich durch die Welle 24 und das Armkreuz 22 hindurch in die Nähe des Waferhalters 200. Zusätzliche Umfangsthermoelemente 30 sind ebenfalls gezeigt, aufgenommen innerhalb eines Gleitrings oder Temperaturkompensationsrings 32, der den Waferhalter 200 und den Wafer 16 umgibt. Die Thermoelemente 28, 30 sind mit einer Temperaturkontrolleinrichtung (nicht gezeigt) verbunden, die die Leistung der verschiedenen Heizelemente 14 als Reaktion auf den Anzeigewert der Thermoelemente 28, 30 einstellt.
  • Zusätzlich zu dem Aufnehmen der Thermoelemente 28, 30 absorbiert der Gleitring 32 Strahlungswärme während einer Bearbeitung mit hoher Temperatur. Dies kompensiert eine Tendenz in Richtung eines größeren Wärmeverlustes am Waferrand 17, ein Phänomen, bei dem es bekannt ist, dass es in Folge einer größeren Oberflächenbereichskonzentration für ein vorgegebenes Volumen nahe solchen Rändern auftritt. Durch Minimieren von Randverlusten und das Überwachen radialer Temperaturungleichmäßigkeiten über den Wafer 16 hinweg kann der Gleitring 32 dazu beitragen, ein kristalographisches Gleiten und andere Probleme in Verbindung mit Temperaturungleichmäßigkeiten über den Wafer hinweg zu vermeiden. Der Gleitring 32 kann durch irgendein geeignetes Mittel aufgehängt werden. Beispielsweise lagert der gezeigte Gleitring 32 auf Kniestücken 34, die von den Quarzkammerteilern 36 herabhängen.
  • Unter Bezug auf 3 bis 6 ist der Waferhalter der bevorzugten Ausführungsform gezeigt. Wie oben angemerkt, ist der gezeigte Waferhalter ein Suszeptor, der geeignet ist zum Absorbieren von Strahlungsenergie von den Heizelementen 14. Der Suszeptor 200 ist vorzugsweise aus einem graphitbeschichteten Siliziumcarbid hergestellt, obgleich Fachleute es schätzen werden, dass andere Materialien ebenfalls geeignet sind. Der gezeigte Suszeptor 200 ist merklich massiver als der zu haltende Wafer, vorzugsweise weist er mehr als die fünffache und noch bevorzugter zwischen etwa sieben- und neunfache thermische Masse des Wafers auf, so dass er als ein "thermisches Schwungrad" dienen kann, um die Temperaturstabilität beizubehalten. Zusätzlich ist der Suszeptor 200 vorzugsweise "fest", i.e. enthält keine durch diesen hindurchgehenden Öffnungen. Solche Öffnungen führen zu dem Risiko einer rückseitigen Abscheidung ebenso wie sie die thermischen Eigenschaften ändern.
  • 3A zeigt den Suszeptor 200, wie gezeigt von oben, das bedeutet, mit Blick in eine vertiefte Tasche 202, in der der Wafer abgestützt wird. Die vertiefte Tasche 202 weist einen Satz von sich kreuzenden Umfangsgitternuten auf, geschnitten in ihre konkave Oberfläche und umgegeben von einer ringförmigen Nut 204. Diese Merkmale werden detaillierter unter Bezug auf die 3D unten beschrieben. Während es nur über einen Teil der Suszeptorvertiefung 202 gezeigt ist, wird es geschätzt, dass das Gitter sich über die vollständige Suszeptorvertiefung 202 bis zu der ringförmigen Nut 204 erstreckt. Eine hochgestellte Schulter 206 umgibt die ringförmige Nut 204. In einer zum Tragen beispielsweise eines 200 mm Wafers entworfenen Ausführungsform beträgt der Durchmesser des Suszeptors 200 zu dem äußeren Rand der ringförmigen Nut etwa 203,2 ± 0,127 mm (8,000 ± 0,005 Zoll) oder etwas mehr als der zu haltende Wafer, und der Gesamtdurchmesser des Suszeptors liegt bei etwa 224, 8 ± 0,127 mm (8,850 ± 0,005 Zoll). Die Höhen der Gittervorsprünge entlang dem Umfang, der am dichtesten an dem Rand der Tasche liegt, bestimmen eine Ebene, auf der der Wafer ruht, die zwischen etwa 0,254 und 0,635 mm (0,010 und 0,025 Zoll), bevorzugter zwischen etwa 0,381 und 5,08 mm (0,015 und 0,200 Zoll) und am bevorzugtesten etwa 0,457 mm (0,018 Zoll) unterhalb der oberen Fläche der ringförmigen hochgestellten Schulter 206 liegt, etwa 0,254 mm (0,010 Zoll) unter der Dicke eines 200-mm-Standard-Wafers.
  • Eine Ansicht des Suszeptors von unten ist in 3B gezeigt. Die Bodenfläche 210 enthält eine Bodennut 214, etwa 6,35 mm (0,250 Zoll) breit und mit einem rechteckigen Querschnitt, der einen nahezu vollständigen konzentrischen Kreis bildet und einen äußeren Durchmesser von etwa 133,4 ± 0,127 mm (5,250 ± 0,005 Zoll) aufweist. Die Bodennut 214 bildet keinen vollständigen Kreis, sondern ist durch einen Abschnitt 216 unterbrochen, gezeigt auf der rechten Seite von 3B. Der gezeigte Unterbrechungsabschnitt 216 weist eine Länge von etwa 6,35 mm (0,250 Zoll) auf. Die Bodennut 214 nimmt Arme des Armkreuzes 22 (2) auf, und der Unterbrechungsabschnitt 216 stellt sicher, dass das Armkreuz 22 sich nicht unabhängig von dem Suszeptor 200 drehen kann, sobald es gegenüber dem Abschnitt 216 in seiner Position verrastet ist.
  • 3C ist eine Querschnittsansicht eines Bereichs des Suszeptors 200 nahe dem Umfang entlang der Linie 3C-3C in 3A. Auf der obersten Fläche ist die Vertiefung 202 gezeigt, mit einer Vielzahl von Gittervorsprüngen 220, getrennt durch eine Vielzahl von parallelen Gitternuten 222, rechtwinklig zu der Seitenebene. Der Fachmann wird es schätzen, dass es einen zweiten Satz ähnlicher paralleler Gitternuten gibt (nicht in dieser Ansicht gezeigt), rechtwinklig zu den gezeigten Gitternuten 222 und parallel zu der Seitenebene. Daher können die Vorsprünge 220 als schmale quadratische Inseln verstanden werden, begrenzt auf zwei parallelen Seiten durch einen Satz von Gitternuten 222 und auf den anderen beiden parallelen Seiten durch den zweiten Satz von Gitternuten, in dieser Ansicht nicht zu sehen. Die ringförmige Nut 204, die Suszeptorschulter 206 und die Relativpositionen von diesen sind ebenfalls gezeigt. Auf der Bodenfläche 210 ist der rechtwinklige Querschnitt der Bodennut 214 gezeigt.
  • 3D ist ein Detail der Oberfläche der Tasche 202, gezeigt in dem Kreis mit dem Bezugszeichen 3D in 3C. Jede Gitternut 222 weist einen flachen Gittergrund oder -boden 224 und Seitenwände 226 auf, die schräg nach außen stehen und sich in einem eingeschlossenen Winkel von 45° erstrecken. Die Vorsprünge 220 zwischen den Gitternuten 222 weisen flache Höhen 228 auf, die die Stützfläche der Vertiefung 202 bestimmen. In der gezeigten Ausführungsform sind die Höhen quadratisch mit einer Breite und Länge von etwa 0,20 mm mal 0,20 mm (0,008 Zoll mal 0,008 Zoll), während die flachen Bodenabschnitte 224 der Gitternuten 222 eine Breite von etwa 0,56 mm (0,221 Zoll) aufweisen.
  • Der Höhenunterschied zwischen der Vorsprungshöhe 228 und dem Gitternutboden 224 liegt vorzugsweise zwischen etwa 0,35 mm und 0,55 mm, bevorzugter zwischen etwa 0,40 mm und 0,45 mm (nominell 0,43 mm oder 0,017 Zoll in der gezeigten Ausführungsform). Die Gitterneigung oder der Abstand zwischen identischen benachbarten Merkmalen liegt vorzugsweise zwischen etwa 1,0 mm und 1,5 mm, bevorzugter zwischen etwa 1,2 mm und 1,3 mm in beiden Richtungen (nominell 1,27 mm oder 0,050 Zoll in der gezeigten Ausführungsform).
  • Ähnliche mit einem Gitter versehene Suszeptoren sind von ASM America, Inc. aus Phoenix, AZ, erhältlich für die Verwendung in EpsilonTM Serien von CVD-Reaktoren. Diese Suszeptoren jedoch wiesen andere Gitteraufbauten auf. Beispielsweise lag die Neigung des Gitters bei früheren Suszeptoren bei etwa der Hälfte von dem der bevorzugten Ausführungsform. Darüber hinaus wurden die früheren Suszeptoren entworfen, um nahezu eben zu sein, mit der Ausnahme einer minimalen Fertigungstoleranz für die Konkavität (z.B. von 0 bis 0,00 5 Zoll oder 0,127 mm), im Vergleich zu den Umfangsabschnitten des Gitters, einfach um eine konvexe Form zu vermeiden. Wie detailliert unten in Bezug auf 4 beschrieben, weisen die bevorzugten Ausführungsformen eine signifikante Konkavität oder Kugelform für eine verbesserte Handhabung des Wafers auf.
  • Unter Bezug auf 4 ist ein oberer Abschnitt des Suszeptors 200 im Querschnitt gezeigt. Die Ansicht erstreckt sich von der Mittellinie 240 des Suszeptors zu der ringförmigen Schulter 206. Nur ein Abschnitt der ringförmigen Schulter 206 ist gezeigt. Eine obere Fläche 229 der Vertiefung 202 ist durch die obersten Flächen 228 der Gittervorsprünge 220 bestimmt. Die obere Fläche 229 neigt sich allmählich nach unten von einem Umfangsgittervorsprung 242 zu einem zentralen Gittervorsprung 244. Obgleich es nicht gezeigt ist, wird es verstanden, dass die obere Fläche 229 sich ebenfalls nach oben neigt von dem zentralen Gittervorsprung 244 zu einem anderen Umfangsgittervorsprung 220 am anderen Ende der Vertiefung 202. Die Vertiefung 202 weist daher eine Kreissymmetrie auf, eine ganzheitlich konkave Form bildend. Die Konkavität und Gitterstruktur der Sus zeptorvertiefungsoberfläche sind zum Zwecke der Veranschaulichung übertrieben dargestellt.
  • Die Konkavität der oberen Fläche 229 ist durch den Tiefenunterschied 246 im Vergleich zu der Höhe der Schulter 206 beispielsweise zwischen der Höhe eines Gittervorsprungs 243 am Umfang (benachbart zu der ringförmigen Nut 204) und der Höhe des Gittervorsprungs 244 (im Zentrum 240 der Vertiefung 202) bestimmt. Die Konkavität 246 liegt vorzugsweise zwischen etwa 0,130 mm und 0,500 mm. Für Suszeptoren, die für 150 mm-Wafer entworfen sind, liegt die Konkavität 246 bevorzugter zwischen etwa 0,130 mm und 0,200 mm und am bevorzugtesten zwischen etwa 0,130 mm und 0,150 mm (nominell 0,1397 mm oder 0,0055 Zoll in einer beispielhaften 150 mm-Ausführungsform). Für die für 200 mm-Wafer entworfenen Suszeptoren liegt die Konkavität 246 bevorzugter zwischen etwa 0,130 mm und 0,250 mm und am bevorzugtesten zwischen etwa 0,170 mm und 0,220 mm (nominell 0,1905 mm oder 0,0075 Zoll in einer beispielhaften 200 mm-Ausführungsform). Für die für 300 mm-Wafer entworfenen Suszeptoren liegt die Konkavität 246 bevorzugter zwischen etwa 0,300 mm und 0,500 mm, am bevorzugtesten zwischen etwa 0,360 mm und 0,400 mm. Wenn die Substratgröße zunimmt, nimmt die Konkavität vorzugsweise mehr als proportional zu.
  • 5 zeigt den Wafer 16 in der Position in der Vertiefung des bevorzugten Suszeptors 200. Die Krümmung und die Gitterstruktur der Vertiefungsfläche sind übertrieben dargestellt, um die Anordnung klarer zu zeigen. Das zwischen dem Wafer 16 und dem darunter liegenden Suszeptor 200 "eingeschlossene" Volumen ist durch das Bezugszeichen 248 in 5 angegeben und wird hier als das "Strömungsvolumen" in Bezug genommen, wie aus der unten stehenden Diskussion geschätzt wird. Das eingeschlossene Strömungsvolumen 248 enthält sowohl den Raum zwischen der unteren Fläche 249 des Wafers 16 und der oberen Fläche 229 des Gitters als auch das Volumen innerhalb der Gitternuten 222 (i.e. das Volumen zwischen der unteren Fläche 249 und den Böden 224 der Gitternuten 222).
  • 6A ist eine perspektivische Ansicht des Substrats oder Wafers 16 in der Position auf dem Suszeptor 200 der bevorzugten Ausführungsform. Der weggeschnittene Abschnitt zeigt den Rand 17 des Wafers 16 in Überlagerung der Vorsprünge 220 bei oder nahe dem Umfang der Suszeptorvertiefung 202. Die Größe des Gitters ist zum Zwecke der Darstellung übertrieben gezeigt.
  • 6B zeigt den Waferrand 17 in Berührung mit den Gittervorsprüngen 220 am äußeren Rand der Suszeptorvertiefung und mit Blick auf den Rand. Das Gitter ist entlang einem Vorsprung des Waferrandes oder Umfangs 17 nach unten in das Gitter hinein in Abschnitte unterteilt. Dieser Vorsprung unterbricht eine Vielzahl von Gitternuten 222, wie gezeigt. Der Querschnitt stellt daher die Öffnungen der Gitternuten 222 an dem Waferrand 12 dar. Gas (z.B. Luft oder Inertgas in der Kammer) tritt durch diese Öffnungen während des Abwurfs des Wafers aus und durch diese Öffnungen während der Aufnahme des Wafers ein. Entsprechend wird die Summe der Querschnittsbereiche der Gitternuten 222, die sich von unterhalb des Wafers 16 öffnen, hier als der "Ausströmungsbereich" des Suszeptors 200 in Bezug genommen. Der vollständige Ausströmungsbereich ist die Summe aller dieser Bereiche um den Umfang des Wafers herum, durch die Gas entweichen kann, wenn ein Wafer auf dem Suszeptor 200 abgeworfen wird oder durch die Gas in den Suszeptor hereingesogen werden kann, während der Wafer aufgenommen wird.
  • Das eingeschlossene Strömungsvolumen des Suszeptors und der vollständige Ausströmungsbereich sind optimiert, um das Gleiten, Haften und Verwinden des Wafers zu minimieren, während gute thermische Austauscheigenschaften aufrechterhalten werden und das Risiko minimiert wird, dass Prozessgase die Rückseite des Wafers erreichen. In bevorzugten Ausführungsformen wurden diese Parameter getrennt anwendungsspezifisch für Wafer mit 6 Zoll oder 150 mm Durchmesser, mit 8 Zoll oder 200 mm Durchmesser und mit 12 Zoll oder 300 mm Durchmesser nach Maß gefertigt. Für größere Waferabmessungen vergrößern sich das Strömungsvolumen und der gesamte Ausströmungsbereich vorzugsweise mehr als proportional zu den Waferbereichen aufgrund des vergrößerten Abstandes und des vergrößerten Gasvolumens, das wandert, um den Waferumfang zu erreichen.
  • Wie erwähnt, enthält das eingeschlossene Gesamtströmungsvolumen das Volumen zwischen der unteren Fläche 249 des Substrats und der oberen Fläche 229 der Suszeptorvertiefung, definiert durch die Höhen 228 der Vorsprünge, ebenso wie das Volumen innerhalb der Gitternuten 222. Für einen Suszeptor, der zum Halten eines 150 mm-Wafers entworfen ist, liegt das eingeschlossene Gesamtströmungsvolumen vorzugsweise zwischen etwa 7,5 × 10–6 m3 und 10,0 × 10–6 m3 und bevorzugter zwischen etwa 8,0 × 10–6 m3 und 9,0 × 10–6 m3. Für Suszeptoren, die zum Halten von Wafern mit einem Durchmesser von 200 mm oder größer entworfen sind, liegt das eingeschlossene Gesamtströmungsvolumen zwischen 1,3 × 10–5 m3 und 6,0 × 10–5 m3. Das eingeschlossene Gesamtvolumen für einen Suszeptor, der zum Halten eines 200 mm-Wafers entworfen ist, liegt vorzugsweise zwischen etwa 1,3 × 10–5 m3 und 4,0 × 10–5 m3, bevorzugter zwischen etwa 1,4 × 10–5 m3 und 1,6 × 10–5 m3 (1,506 × 10–5 m3 bei einem beispielhaften 200 mm-Suszeptor). Für einen Suszeptor, der zum Halten eines 300 mm-Wafers entworfen ist, liegt das eingeschlossene Gesamtströmungsvolumen vorzugsweise zwischen etwa 3,0 × 10–5 m3 und 6,0 × 10–5 m3, bevorzugter zwischen etwa 3,5 × 10–5 m3 und 4,5 × 10–5 m3 (oder 4,062 × 10–5 m3 bei einem beispielhaften 300 mm-Suszeptor).
  • Für 6 Zoll- oder 150 mm-Wafer liegt der Ausströmungsbereich des Suszeptors zwischen etwa 0,1 × 10–4 m2 und 5,0 × 10–4 m2 und bevorzugter zwischen etwa 2,0 × 10–4 m2 und 3,0 × 10–4 m2. Für 200 mm-Wafer liegt der Ausströmungsbereich des Suszeptors vorzugsweise zwischen etwa 2,0 × 10–4 m2 und 4,0 × 10–4 m2 und bevorzugter zwischen etwa 2,5 × 10–4 m2 und 3,5 × 10–4 m2(3,096 × 10–4 m2 bei einem beispielhaften 200 mm-Suszeptor). In einer anderen Ausführungsform der vorliegenden Erfindung sind diese Parameter für 12 Zoll- oder 300 mm-Wafer optimiert. Der Ausströmungsbereich liegt vorzugsweise zwischen 3,0 × 10–4 m2 und 6,0 × 10–4 m2, bevorzugter zwischen etwa 4,0 × 10–4 m2 und 5,0 × 10–4 m2 (4,643 × 10–4 m2 bei einem beispielhaften 300 mm-Suszeptor).
  • In der bevorzugten Ausführungsform ist der Suszeptor mit einer flachen Vertiefungsoberfläche aus Graphit hergestellt. Der Suszeptor ist mit Siliziumcarbid bei einer erhöhten Temperatur beschichtet, vorzugsweise zwischen etwa 1.000°C und 1.500°C, bevorzugter zwischen 1.250°C und 1.350°C. Wenn der Suszeptor abkühlt, verursacht die Fehlanpassung des thermischen Ausdehnungskoeffizienten zwischen Graphit und Siliziumcarbid ein Durchbiegen des Suszeptors, was die Konkavität der Vertiefungsfläche hervorruft. Die Ausführungsform wird genannt, um ein bevorzugtes Verfahren zum Einbringen einer Konkavität in die Suszeptorvertiefungsfläche zu zeigen. Der Fachmann wird andere Verfahren zum Herstellen von Konkavitäten gemäß den bevorzugten Ausführungsformen, die oben beschrieben sind, finden.
  • Das Einbringen der Konkavität in die Suszeptorfläche, zusammen mit tieferen und breiteren Nuten, erzeugt das eingeschlossene Gesamtströmungsvolumen. Dieses Strömungsvolumen zusammen mit dem Ausströmungsbereich (Gesamtquerschnittsbereich der Nutenöffnungen an dem Waferumfang) kann den plötzlichen Abwurf eines Wafers ausgleichen, ohne ein komprimiertes Luftpolster mit einem ausreichenden Druck zu erzeugen, um den Wafer dazu zu bringen, zu gleiten oder zu rutschen. Einesteils unterstützt das vergrößerte Strömungsvolumen die Aufnahme der Gaskompression ohne übermäßigen Druckaufbau und anderenteils ermöglicht es der Ausströmungsbereich, dass Gas schnell entweichen kann, sodass kein ausreichendes Gaspolster geschaffen wird über den Abwurf, um zumindest zeitweise den Wafer zu halten. Der Wafer verbleibt auf dem Stützgitter in einer zentrierten Anordnung, für die der Wafertransportroboter programmiert wurde.
  • Zusätzlich wird unter Verwendung der Vertiefungsprofile der bevorzugten Ausführungsformen kein signifikanter Saugeffekt zwischen dem Wafer und dem Suszeptor erzeugt, wenn der Wafer durch einen Roboter-Endeffektor aufgenommen wird, wie eine Bernoulliplatte. Diese Verbesserung der Aufnahme reduziert eine Partikelerzeugung.
  • Vorteilhaft werden die bevorzugten Strömungsvolumina und Ausströmungsbereiche ohne das Erzeugen eines harten thermischen Übergangs, wie beispielsweise eines vorstehenden Randes, in dem Suszeptor erzeugt. Daher erstreckt sich das Gitter fortgesetzt unter der gesamten Waferoberfläche, und der geringe Raum zwischen den zentralen Vorsprüngen und dem Wafer hat keine negative Auswir kung auf die thermische Wechselwirkung zwischen dem Wafer und dem Suszeptor. Tatsächlich werden Verbesserungen bei der Gleichmäßigkeit und Wiederholbarkeit der Filmabscheidung erzielt in Folge einer übereinstimmenderen Anordnung des Wafers in Bezug auf den Suszeptor, sodass die Temperatureinstellung gleichermaßen gut für zahlreiche nacheinander bearbeitete Wafer anwendbar ist. Im Gegensatz hierzu zeigten frühere Suszeptorentwürfe lokale kalte Stellen, sobald der Wafer in Berührung mit dem Vertiefungsrand glitt. Wiederholte Abscheidungstests, die auf einem Wafer durchgeführt wurden, der durch einen mit einem Gitter versehenen Suszeptor gehalten wird, gemäß den bevorzugten Ausführungsformen, zeigten eine hervorragende Reproduzierbarkeit und eine geringe Standardabweichung bei der abgeschiedenen Schichtdicke. Tabelle I zeigt die Gleichmäßigkeit der Abscheidung, die mit neuen Suszeptoren erreicht wurde.
  • Tabelle I
    Figure 00170001
  • Figure 00180001
  • Darüber hinaus vermied eine bessere Kontrolle über den zentrierten Abwurf ebenfalls ein katastrophales Versagen bei der Bearbeitung. Bei Abscheidungen, die durchgeführt wurden unter Verwendung der bevorzugten Ausführungsformen, wurde kein Gleiten beobachtet; die Wafer verblieben zentriert, wie fallengelassen, und die abgeschiedenen dünnen Schichten lagen innerhalb der Kontrollgrenzen.
  • Bei Experimenten, die unter Verwendung eines mit einem Gitternetz versehenen Suszeptors durchgeführt wurden, ohne Konkavität und mit dichteren Nuten, wurden andererseits inakzeptable dünne Schichten beobachtet, wie in Tabelle II angegeben. Die Wafer in Tabellen I und II wurden in derselben Art von Kammer bearbeitet und in einem ähnlichen Temperaturbereich, obgleich die Prozessrezepturen nicht identisch waren.
  • Tabelle II
    Figure 00180002
  • Figure 00190001
  • Die Wafer 4, 7, 8, 16 und 17 wiesen radikal höhere Standardabweichungen auf und daher eine sehr viel größere Abweichung bei der Filmdicke im Vergleich zu Wafern in Tabelle I. Dies ist ein Hinweis dafür, dass ein Wafergleiten zum Kontaktieren der Schulter 206 des Suszeptors 200 für diese Wafer aufgetreten ist. Ein signifikanter Temperaturunterschied in Folge dieses Kontakts resultiert in variierenden Abscheideraten über den Wafer 16 hinweg und daher einer ungleichmäßigen Filmdicke.
  • Zusätzlich reduziert die Konkavität 246 (4) der oberen Fläche 229 des Suszeptors das Auftreten eines Verwindens des Wafers. Unter Bezug auf 2 wird ein Wafer 16, wenn er anfänglich in die Kammer 12 eingeführt und über dem Suszeptor 200 gehalten wird, nicht proportional von unten erwärmt. Entsprechend führt die erhöhte Temperatur auf der Bodenfläche des Wafers 16 zu einer größeren thermischen Ausdehnung auf der Bodenfläche und daher zu einem leichteren nach oben gerichteten Verwinden. Der Wafer weist eine Kugel- oder konkave Form mit einer Konkavität im Bereich von etwa 0,254 mm (0,010 Zoll) auf. Die Konkavität in diesem Zusammenhang bezieht sich auf die Tiefe von dem höchsten Punkt des Wafers (im Allgemeinen dem Rand) zu dem niedrigsten Punkt des Wafers (im Allgemeinen dem Zentrum). Wenn er auf einen "flachen" mit einem Gitter versehenen Suszeptor abgeworfen wird, berührt das Zentrum des Wafers 16 als erstes den Suszeptor, wobei radiale Temperaturgradienten eingeführt wer den. Dies verschlimmert schnell den Verwindungseffekt, sodass die Konkavität auf etwa 8,89 mm (0,350 Zoll) durch den Kontakt zunimmt, wobei häufig der Endeffektor zerkratzt wird, bevor er weggenommen werden kann und was manchmal zu einem Brechen des Wafers führt.
  • Im Gegensatz dazu stellt die Suszeptorkonkavität in den bevorzugten Ausführungsformen einen Suszeptor zur Verfügung, der sich besser der Form des leicht verwundenen Wafers anpasst, sobald der Wafer abgeworfen wird, wodurch ein durchgängigerer thermischer Kontakt damit hergestellt wird. Die Waferverwindung wird auf eine Konkavität von etwa 5,08 mm (0,200 Zoll) vermindert, was nicht ausreichend ist, um die Bernoulliwand zu zerkratzen. Durch Vermeiden eines Zerkratzens der Wand und von begleitenden Partikelproblemen wurde gezeigt, dass sich der Ertrag um 30 – 40 % verbessert.
  • Die 7A und 7B zeigen einen Suszeptor 200, der gemäß einer anderen Ausführungsform aufgebaut ist, worin die Zentrierung verbessert ist, und eine thermische Ungleichmäßigkeit aufgrund des Berührens der Wand am Rand der Vertiefung 202 vermieden wird. Die gezeigte Ausführungsform enthält ebenfalls einen mit einem Gitter versehenen Suszeptor, sodass zu denen der vorstehenden Ausführungsform ähnliche Elemente durch entsprechende Bezugszeichen in Bezug genommen werden.
  • Der Suszeptor 200 enthält eine zentrale mit einem Gitter versehene Vertiefung 202, auf der ein Wafer angeordnet werden kann, und eine Vielzahl von Zentrierpositionierhilfen 250 oder 252 am Umfang der Vertiefung 202. Die Positionierhilfen 250 oder 252 erstrecken sich über dem Niveau des Gitters und halten den Wafer davon ab, in Berührung mit der ringförmigen Schulter 206 zu treten, die ein Kühlen am Waferrand, wie oben erwähnt, hervorriefe. Der Waferrand kann die Positionierhilfen 250 oder 252 berühren, sodass die Positionierhilfen 250 oder 252 entworfen sind, um irgendwelchen nachteiligen thermischen Einfluss, den sie haben können, zu minimieren. Die Zentrierpositionierhilfen 250 nach 7A steht in Kontakt mit der ringförmigen Schulter 206 entlang nur der schmalsten Seite der Positionierhilfe. Das Belassen eines Raumes zwischen den Zentrierpositionierhilfen und der ringförmigen Schulter, wie in 7B in der bevorzugten Anordnung gezeigt, jedoch sogar die Zentrierpositionierhilfe in Anbringung an der ringförmigen Schulter 206, wie in 7A gezeigt, sind eine Verbesserung gegenüber dem Risiko eines Kontakts des Wafers mit der ringförmigen Schulter 206 selbst. Die schmalen Positionierhilfen halten den Wafer von der sehr viel größeren thermischen Masse der ringförmigen Schulter 206 fern, wo die Kühlungswirkung groß wäre.
  • Die Breite einer jeden Positionierhilfe entlang einem Umfang der Vertiefung oder entlang Oberflächen 260, 262 in 7A bzw. 7B liegt bei weniger als etwa 1,50 mm. Die oberen Flächen der Positionierhilfen liegen etwa in einer Höhe mit der oberen Fläche der ringförmigen Schulter 206. In 7A liegt die radiale Länge einer jeden Positionierhilfe an ihrer oberen Fläche vorzugsweise zwischen etwa 1,00 und 2,00 mm. In 7B ist der äußere Rand 258 einer jeden Positionierhilfe zwischen etwa 0,50 mm und 2,00 mm von dem inneren Umfang der ringförmigen Schulter 206 beabstandet.
  • Die Positionierhilfen 250 und 252 sind mit ihren inneren Rändern 260 und 262 entlang einem Kreis mit einem Durchmesser, der etwas größer als der Durchmesser des Wafers ist, angeordnet. Vorzugsweise gibt es zwischen 6 und 10 Positionierhilfen, bevorzugter zwischen etwa 7 und 9 Positionierhilfen und am bevorzugtesten etwa 8 Positionierhilfen, die gleichmäßig um den Rand der Vertiefung 202 herum, wie in 8 gezeigt, beabstandet angeordnet sind.
  • Das hierin beschriebene Substrat enthält Merkmale, die Verbesserungen über den Stand der Technik bewirken für zahlreiche Gestaltungsformen der Substratanordnung in Substratbearbeitungssystemen. Insbesondere wurden das eingeschlossene Strömungsvolumen in der Suszeptorvertiefung unter dem Substrat und der Ausströmungsbereich um den Umfang des Substrats herum entworfen, um die Möglichkeit eines Substratgleitens und -haftens während des Abwurfs und der Aufnahme zu reduzieren. Dies stellt sicher, dass das Substrat nahe dem Zentrum der Suszeptorvertiefung während der Bearbeitung angeordnet ist. In einer anderen Ausführungsform reduzieren die Zentrierpositionierhilfen um den Rand der Suszeptorvertiefung herum weiter die Möglichkeit eines Kontakts zwischen dem Substrat und dem äußeren Rand des Suszeptors. Diese Merkmale der Sus zeptorvertiefung verhindern einen Kontakt zwischen dem Rand des Substrats und der kühleren äußeren Schulter des Substrathalters, wobei sie dazu beitragen, eine Temperaturgleichmäßigkeit zu erzeugen und sicherstellen, dass die Qualität des Prozessergebnisses eine gute Wiederholbarkeit aufweist.
  • Es wird von den Fachleuten geschätzt, dass verschiedene Modifikationen und Veränderungen vorgenommen werden können, die in den Schutzbereich der Erfindung fallen, wie er durch die anhängenden Ansprüche definiert wird.

Claims (24)

  1. Substrathalter (200) enthaltend eine konkave Stützfläche (229), dadurch gekennzeichnet, dass die Stützfläche definiert ist durch Höhen (228) einer Vielzahl von Vorsprüngen (220), wobei die Vorsprünge (220) getrennt sind durch eine Vielzahl von sich kreuzenden Gitternuten (222), wobei die Stützfläche (229) eine Konkavität (246) zwischen etwa 0,130 mm und 0,500 mm aufweist, wobei die Konkavität (246) gemessen wird als eine Tiefe von einem Umfangsrand der Stützfläche (229) zu einem zentralen Punkt (240) innerhalb der Stützfläche (229).
  2. Substrathalter (200) nach Anspruch 1, weiter enthaltend eine ringförmige Schulter (206), die eine Vertiefung (202) umgibt, dimensioniert, um ein Substrat (16) aufzunehmen, wobei die Vertiefung (202) die konkave Stützfläche (229) enthält.
  3. Substrathalter (200) nach Anspruch 2, bei dem die Vertiefung (202) und die konkave Stützfläche (229) dimensioniert sind, um ein 200 mm-Substrat (16) aufzunehmen und die Konkavität (246) zwischen etwa 0,130 mm und 0,250 mm, insbesondere zwischen etwa 0,170 mm und 0,220 mm liegt oder die Vertiefung (202) und die konkave Stützfläche (229) dimensioniert sind, um ein 300 mm-Substrat (16) aufzunehmen und die Konkavität (246) zwischen etwa 0,300 mm und 0,500 mm, insbesondere zwischen etwa 0,360 mm und 0,400 mm liegt.
  4. Substrathalter (200) nach Anspruch 1, bei dem für ein flaches 200 mm-Substrat (16), gehalten auf dem Halter (200), dimensioniert, um das Substrat aufzunehmen, ein eingeschlossenes Gesamtströmungsvolumen (248), bestehend aus einem Volumen zwischen dem Substrat (16) und der Stützfläche (229) und einem Volumen innerhalb der Gitternuten (222) in der Stützfläche (229), zwischen etwa 1,3 × 10–5 m3 und 4,0 × 10–5 m3 liegt, insbesondere etwa 1,4 × 10–5 m3 und 1,6 × 10–5 m3.
  5. Substrathalter (200) nach Anspruch 4, bei dem eine Ausströmfläche, definiert als ein Gesamtquerschnittsbereich der Gitternuten (222) unterhalb von und um einen Umfang des Substrats (16) herum zwischen etwa 2,0 × 10–4 m2 und 4,0 × 10–4 m2 liegt, insbesondere etwa 2,5 × 10–4 m2 und 3,5 × 10–4 m2.
  6. Substrathalter (200) nach Anspruch 1, bei dem für ein flaches 300 mm-Substrat (16), gehalten auf dem Halter (200), dimensioniert, um das Substrat aufzunehmen, ein eingeschlossenes Gesamtströmungsvolumen (248), bestehend aus einem Volumen zwischen dem Substrat (16) und der Stützfläche (229) und einem Volumen innerhalb der Gitternuten (222) in der Stützfläche (229), zwischen etwa 3,0 × 10–5 m3 und 6,0 × 10–5 m3 liegt, insbesondere etwa 3,5 × 10–5 m3 und 4,5 × 10–5 m3.
  7. Substrathalter (200) nach Anspruch 6, bei dem eine Ausströmfläche, definiert als ein Gesamtquerschnittsbereich der Gitternuten (222) unterhalb von und um einen Umfang des Substrats (16) herum, zwischen etwa 3,0 × 10–4 m2 und 6,0 × 10–4 m2 liegt, insbesondere etwa 4,0 × 10–4 m2 und 5,0 × 10–4 m2.
  8. Substrathalter (200) nach Anspruch 1, bei dem für ein flaches 150 mm-Substrat (16), gehalten auf dem Halter (200), dimensioniert, um das Substrat aufzunehmen, ein eingeschlossenes Gesamtströmungsvolumen (248), bestehend aus einem Volumen zwischen dem Substrat (16) und der Stützfläche (229) und einem Volumen innerhalb der Gitternuten (222) in der Stützfläche (229), zwischen etwa 7,5 × 10–6 m3 und 10,0 × 10–6 m3 liegt, insbesondere etwa 8,0 × 10–6 m3 und 9,0 × 10–6 m3.
  9. Substrathalter (200) nach Anspruch 8, bei dem eine Ausströmfläche, definiert als ein Gesamtquerschnittsbereich der Gitternuten (222) unter einem Umfang des Substrats (16) zwischen 0,1 × 10–4 m2 und 5,0 × 10–4 m2 liegt, insbesondere etwa 2,0 × 10–4 m2 und 3,0 × 10–4 m2.
  10. Substrathalter (200) nach Anspruch 2, bei dem ein äußerer Umfang der Stützfläche (229) unterhalb einer oberen Fläche der ringförmigen Schulter (206) um einen Betrag zwischen etwa 0,245 und 0,635 mm, d. h. 0,010 und 0,025 Zoll, oder zwischen etwa 0,381 und 0,508 mm, d. h. 0,015 und 0,020 Zoll, beabstandet ist.
  11. Substrathalter (200) nach Anspruch 2, bei dem eine ringförmige Nut (204) die ringförmige Schulter (206) von der Stützfläche (229) trennt.
  12. Substrathalter (200) nach Anspruch 1, enthaltend Graphit mit einer Siliciumcarbidbeschichtung.
  13. Substrathalter (200) nach Anspruch 1, bei dem eine Tiefe der Nuten (222) von den Vorsprungshöhen (228) aus zwischen etwa 0,35 mm und 0,55 mm beträgt, insbesondere zwischen etwa 0,40 mm und 0,45 mm.
  14. Substrathalter (200) nach Anspruch 1, bei dem ein Teilungsabstand zwischen benachbarten gleichartigen Merkmalen auf der Stützfläche (229) zwischen etwa 1,0 mm und 1,5 mm, insbesondere zwischen etwa 1,2 mm und 1,3 mm, beträgt.
  15. Substrathalter (200) nach Anspruch 1, mit zumindest einer Aufnahmenut (214) auf einer Bodenfläche (210) davon für eine Vielzahl von Armen (22) eines Armkreuzes.
  16. Substrathalter (200) nach Anspruch 15, bei dem die Stützfläche (229) keine Durchgangsöffnungen zu der Bodenfläche (210) enthält.
  17. Substrathalter (200) nach Anspruch 1, bei dem für einen 150 mm-Halbleiterwafer (16), gehalten auf dem Halter (200), dimensioniert, um den Wafer aufzunehmen, ein eingeschlossenes Gesamtströmungsvolumen (248), bestehend aus einem Volumen zwischen dem Wafer (16) und der Stützfläche (229) und einem Volumen innerhalb der Gitternuten (222) in der Stützfläche (220), größer ist als 7,5 × 10–6 m3.
  18. Substrathalter (200) nach Anspruch 1, bei dem für ein flaches Substrat (16) mit einem Durchmesser, der größer oder gleich 200 mm ist, getragen auf dem Halter (200), dimensioniert, um das Substrat aufzunehmen, ein eingeschlossenes Gesamtströmungsvolumen (248), bestehend aus einem Volumen zwischen dem Substrat (16) und der Stützfläche (229) und einem Volumen innerhalb der Gitternuten (222) in der Stützfläche (220), zwischen etwa 1,3 × 10–5 m3 und 6,0 × 10–5 m3 liegt.
  19. Substrathalter (200) nach Anspruch 18, bei dem der Durchmesser des Wafers (16) 200 mm beträgt und der Halter dimensioniert ist, um den Wafer aufzunehmen, das eingeschlossene Gesamtströmungsvolumen (248) zwischen 1,3 × 10–5 m3 und 4,0 × 10–5 m3 liegt oder der Durchmesser des Substrats (16) 300 mm beträgt und der Halter dimensioniert ist, um das Substrat aufzunehmen, wobei das eingeschlossene Gesamtströmungsvolumen (248) zwischen etwa 3,0 × 10–5 m3 und 6,0 × 10–5 m3 liegt.
  20. Verfahren zur Herstellung eines Substrathalters (200), um ein Gleiten des Substrats (16) während des Abwerfens zu vermeiden, enthaltend: Formen einer ringförmigen Schulter (206) um eine vertiefte Tasche (202) herum in einem Material, das geeignet ist zum Absorbieren von Strahlungsenergie; dadurch gekennzeichnet, dass das Verfahren weiter enthält: Formen einer oberen Fläche der Tasche (202) als eine konkave Stützfläche (229) mit einer Konkavität (246) zwischen etwa 0,130 mm und 0,500 mm, wobei die Konkavität (246) als eine Tiefe von einem Umfangsrand der Tasche (202) zu einem zentralen Punkt (240) innerhalb der Tasche gemessen wird; und Schneiden von sich kreuzenden Gitternuten (222) in die konkave Fläche (229).
  21. Verfahren nach Anspruch 20, bei dem die vertiefte Tasche (202) und die Stützfläche (229) dimensioniert sind, um ein 200 mm-Substrat (16) aufzunehmen und die Konkavität (246) zwischen etwa 0,130 mm und 0,250 mm liegt, insbesondere zwischen etwa 0,170 mm und 0,220 mm.
  22. Verfahren nach Anspruch 20, bei dem die vertiefte Tasche (202) und die Stützfläche (229) dimensioniert sind, um ein 300 mm-Substrat (16) aufzunehmen und die Konkavität (246) zwischen etwa 0,300 mm und 0,500 mm liegt, insbesondere zwischen etwa 0,360 mm und 0,400 mm.
  23. Verfahren nach Anspruch 20, bei dem das Schneiden der Gitternuten (222) das Bearbeiten bis zu einer Tiefe von zwischen etwa 0,35 mm und 0,55 mm mit einem Abstand zwischen benachbarten Merkmalen zwischen etwa 1,0 mm und 1,5 mm enthält.
  24. Verfahren nach Anspruch 20, bei dem die vertiefte Tasche (202) und die Stützfläche (229) dimensioniert sind, um ein 300 mm-Substrat (16) aufzunehmen und eine sich über die konkave Stützfläche (229) erstreckende Ebene ein Volumen (248) zwischen etwa 3,0 × 10–5 m3 und 6,0 × 10–5 m3 einschließt, oder die vertiefte Tasche (202) und die Stützfläche (229) dimensioniert sind, um ein 200 mm-Substrat (16) aufzunehmen und eine sich über die konkave Stützfläche (229) erstreckende Ebene ein Volumen (248) zwischen etwa 1,3 × 10–5 m3 und 4,0 × 10–5 m3 einschließt oder die vertiefte Tasche (202) und die Stützfläche (229) dimensioniert sind, um ein 150 mm-Substrat (16) aufzunehmen und eine sich über die konkave Stützfläche (229) erstreckende Ebene ein Volumen (248) zwischen etwa 7,5 × 10–6 m3 und 10,0 × 10–6 m3 einschließt.
DE60124952T 2000-12-22 2001-12-11 Ausnehmungsprofil eines suszeptors zum verbessern des prozesses Expired - Lifetime DE60124952T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/747,173 US6634882B2 (en) 2000-12-22 2000-12-22 Susceptor pocket profile to improve process performance
US747173 2000-12-22
PCT/US2001/048196 WO2002065510A1 (en) 2000-12-22 2001-12-11 Susceptor pocket profile to improve process performance

Publications (2)

Publication Number Publication Date
DE60124952D1 DE60124952D1 (de) 2007-01-11
DE60124952T2 true DE60124952T2 (de) 2007-09-20

Family

ID=25003972

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60124952T Expired - Lifetime DE60124952T2 (de) 2000-12-22 2001-12-11 Ausnehmungsprofil eines suszeptors zum verbessern des prozesses

Country Status (6)

Country Link
US (3) US6634882B2 (de)
EP (1) EP1348230B1 (de)
JP (1) JP4247429B2 (de)
KR (2) KR20030063448A (de)
DE (1) DE60124952T2 (de)
WO (1) WO2002065510A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012108986A1 (de) * 2012-09-24 2014-03-27 Aixtron Se Substrathalter einer CVD-Vorrichtung

Families Citing this family (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001338878A (ja) * 2000-03-21 2001-12-07 Sharp Corp サセプタおよび表面処理方法
WO2002095795A2 (de) * 2001-05-18 2002-11-28 Mattson Thermal Products Gmbh Vorrichtung zur aufnahme von scheibenförmigen objekten
DE10156441A1 (de) * 2001-05-18 2002-11-21 Mattson Thermal Products Gmbh Vorrichtung zur Aufnahme von scheibenförmigen Objekten und Vorrichtung zur Handhabung von Objekten
US6890383B2 (en) * 2001-05-31 2005-05-10 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafer and susceptor used therefor
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US20030209326A1 (en) * 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
US7022192B2 (en) * 2002-09-04 2006-04-04 Tokyo Electron Limited Semiconductor wafer susceptor
CN1729554B (zh) 2002-12-20 2014-05-07 马特森技术有限公司 用来支撑工件和用来热处理工件的方法和系统
US7021635B2 (en) * 2003-02-06 2006-04-04 Tokyo Electron Limited Vacuum chuck utilizing sintered material and method of providing thereof
JP2004247387A (ja) * 2003-02-12 2004-09-02 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびそれを搭載した半導体製造装置
WO2004090967A1 (ja) * 2003-04-02 2004-10-21 Sumco Corporation 半導体ウェーハ用熱処理治具
JP4019998B2 (ja) * 2003-04-14 2007-12-12 信越半導体株式会社 サセプタ及び気相成長装置
KR100527672B1 (ko) * 2003-07-25 2005-11-28 삼성전자주식회사 서셉터 및 이를 포함하는 증착 장치
ATE514801T1 (de) * 2003-08-01 2011-07-15 Sgl Carbon Se Halter zum tragen von wafern während der halbleiterherstellung
US20050092439A1 (en) * 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
DE20318462U1 (de) * 2003-11-26 2004-03-11 Infineon Technologies Ag Anordnung elektronischer Halbleiterbauelemente auf einem Trägersystem zur Behandlung der Halbleiterbauelemente mit einem flüssigen Medium
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
WO2005081283A2 (en) * 2004-02-13 2005-09-01 Asm America, Inc. Substrate support system for reduced autodoping and backside deposition
US20050284371A1 (en) * 2004-06-29 2005-12-29 Mcfadden Robert S Deposition apparatus for providing uniform low-k dielectric
US20090098290A1 (en) * 2004-09-27 2009-04-16 Mikio Watanabe Process for formation of copper-containing films
KR100875464B1 (ko) * 2004-09-30 2008-12-22 가부시키가이샤 히다치 고쿠사이 덴키 열처리 장치 및 기판의 제조방법
KR101332739B1 (ko) 2005-01-18 2013-11-25 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
WO2006098443A1 (ja) * 2005-03-17 2006-09-21 Hamamatsu Photonics K.K. 顕微鏡画像撮像装置
JP2006303152A (ja) * 2005-04-20 2006-11-02 Fuji Electric Holdings Co Ltd エピタキシャル成膜装置およびエピタキシャル成膜方法
JP4666473B2 (ja) * 2005-05-12 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
JP4377396B2 (ja) * 2005-07-29 2009-12-02 株式会社ニューフレアテクノロジー 気相成長装置
TWI327339B (en) * 2005-07-29 2010-07-11 Nuflare Technology Inc Vapor phase growing apparatus and vapor phase growing method
KR101165466B1 (ko) * 2005-08-31 2012-07-13 엘지디스플레이 주식회사 캐리어 및 이를 구비한 공정 장치
JP4666496B2 (ja) * 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
JP2007201417A (ja) * 2005-12-28 2007-08-09 Tokyo Electron Ltd 熱処理用ボート及び縦型熱処理装置
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
KR100804170B1 (ko) * 2006-06-13 2008-02-18 주식회사 아이피에스 웨이퍼블럭
TW200818327A (en) * 2006-09-29 2008-04-16 Sumco Techxiv Corp Silicon wafer heat treatment method
WO2008058397A1 (en) * 2006-11-15 2008-05-22 Mattson Technology Canada, Inc. Systems and methods for supporting a workpiece during heat-treating
US7661544B2 (en) * 2007-02-01 2010-02-16 Tokyo Electron Limited Semiconductor wafer boat for batch processing
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
JP5537766B2 (ja) * 2007-07-04 2014-07-02 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法
WO2009072252A1 (ja) * 2007-12-06 2009-06-11 Shin-Etsu Handotai Co., Ltd. 気相成長用サセプタおよび気相成長装置
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
KR101588566B1 (ko) * 2008-03-20 2016-01-26 어플라이드 머티어리얼스, 인코포레이티드 롤-성형 표면을 갖는 서셉터 및 이를 제조하기 위한 방법
JP5558673B2 (ja) * 2008-03-25 2014-07-23 大日本スクリーン製造株式会社 熱処理装置
KR101610269B1 (ko) * 2008-05-16 2016-04-07 맷슨 테크놀로지, 인크. 워크피스 파손 방지 방법 및 장치
EP2281300A4 (de) * 2008-05-30 2013-07-17 Alta Devices Inc Verfahren und vorrichtung für einen chemischen dampfabscheidungsreaktor
KR101294129B1 (ko) * 2008-08-29 2013-08-07 비코 인스트루먼츠 인코포레이티드 가변 열 저항을 가진 웨이퍼 캐리어
DE102009010555A1 (de) 2009-02-25 2010-09-02 Siltronic Ag Verfahren zum Erkennen einer Fehllage einer Halbleiterscheibe während einer thermischen Behandlung
KR20120050471A (ko) * 2009-08-05 2012-05-18 어플라이드 머티어리얼스, 인코포레이티드 화학기상증착 장치
KR100965143B1 (ko) * 2009-10-27 2010-06-25 (주)앤피에스 서셉터 유닛 및 이를 구비하는 기판 처리 장치
KR101125739B1 (ko) * 2010-02-03 2012-03-27 주식회사 엘지실트론 반도체 제조용 서셉터
WO2011139640A2 (en) * 2010-05-06 2011-11-10 Applied Materials, Inc. Improved radiation heating efficiency by increasing absorption of a silicon containing material
US9570328B2 (en) 2010-06-30 2017-02-14 Applied Materials, Inc. Substrate support for use with multi-zonal heating sources
JP5462946B2 (ja) * 2010-06-30 2014-04-02 株式会社アルバック 基板処理装置及び基板冷却方法
JP5707766B2 (ja) * 2010-07-28 2015-04-30 住友電気工業株式会社 サセプタおよび半導体製造装置
KR20120071695A (ko) * 2010-12-23 2012-07-03 삼성엘이디 주식회사 화학 기상 증착 장치용 서셉터, 화학 기상 증착 장치 및 화학 기상 증착 장치를 이용한 기판의 가열 방법
JP5869899B2 (ja) 2011-04-01 2016-02-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理方法及びサセプタカバー
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US11085112B2 (en) * 2011-10-28 2021-08-10 Asm Ip Holding B.V. Susceptor with ring to limit backside deposition
US9099514B2 (en) * 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US9376752B2 (en) * 2012-04-06 2016-06-28 Applied Materials, Inc. Edge ring for a deposition chamber
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012022067A1 (de) * 2012-11-09 2014-05-15 Centrotherm Photovoltaics Ag Substrathalter sowie eine vorrichtung und ein verfahren zum behandeln von substraten
US10068791B2 (en) * 2013-03-08 2018-09-04 Semiconductor Components Industries, Llc Wafer susceptor for forming a semiconductor device and method therefor
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
US10287685B2 (en) * 2013-08-29 2019-05-14 Maruwa Co., Ltd. Susceptor
US9716010B2 (en) 2013-11-12 2017-07-25 Globalfoundries Inc. Handle wafer
WO2015112969A1 (en) 2014-01-27 2015-07-30 Veeco Instruments. Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
DE102014106728A1 (de) * 2014-05-13 2015-11-19 Aixtron Se Vorrichtung zum Ausrichten eines Wafers auf einem Waferträger
KR20220025146A (ko) 2014-05-21 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 열 처리 서셉터
US10832931B2 (en) * 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
CN104064458A (zh) * 2014-07-08 2014-09-24 上海先进半导体制造股份有限公司 Pecvd薄膜淀积设备及其热盘
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE102015118215A1 (de) 2014-11-28 2016-06-02 Aixtron Se Substrathaltevorrichtung mit vereinzelten Tragvorsprüngen zur Auflage des Substrates
JP6394400B2 (ja) * 2015-01-13 2018-09-26 株式会社デンソー 表面処理装置およびウエハの表面処理方法
KR20170102020A (ko) * 2015-01-23 2017-09-06 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 내의 퇴적 계곡들을 제거하기 위한 신규한 서셉터 설계
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN105039933A (zh) * 2015-06-10 2015-11-11 上海新傲科技股份有限公司 用于外延生长的托盘
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR101800197B1 (ko) * 2016-05-18 2017-11-22 (주)에스엔텍 증착 챔버 외부로 배출될 수 있는 이너 쉴드와 무게추를 갖는 증착 챔버 장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10186448B2 (en) * 2015-12-11 2019-01-22 Lam Research Corporation Wafer support pedestal with wafer anti-slip and anti-rotation features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6572800B2 (ja) * 2016-02-26 2019-09-11 株式会社村田製作所 真空装置
DE102016103530A1 (de) * 2016-02-29 2017-08-31 Aixtron Se Substrathaltevorrichtung mit aus einer Ringnut entspringenden Tragvorsprüngen
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
WO2018106039A1 (ko) * 2016-12-08 2018-06-14 주식회사 테스 유기금속화학기상증착장치
CN110088356A (zh) * 2016-12-08 2019-08-02 Tes股份有限公司 有机金属化学气相沉积装置
JP2018095916A (ja) * 2016-12-13 2018-06-21 株式会社日立国際電気 基板処理装置、リソグラフィ用テンプレートの製造方法、プログラム
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018213621A2 (en) * 2017-05-18 2018-11-22 Applied Materials, Inc. Thermal chamber with improved thermal uniformity
USD859484S1 (en) * 2017-06-12 2019-09-10 Asm Ip Holding B.V. Heater block
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6878212B2 (ja) * 2017-09-07 2021-05-26 昭和電工株式会社 サセプタ、cvd装置及びエピタキシャルウェハの製造方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) * 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11515191B2 (en) 2018-10-26 2022-11-29 Applied Materials, Inc. Graded dimple height pattern on heater for lower backside damage and low chucking voltage
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018131987A1 (de) 2018-12-12 2020-06-18 Aixtron Se Substrathalter zur Verwendung in einem CVD-Reaktor
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
USD927575S1 (en) 2019-01-18 2021-08-10 Shinkawa Ltd. Heater block for bonding apparatus
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
TW202110587A (zh) 2019-05-22 2021-03-16 荷蘭商Asm Ip 控股公司 工件基座主體及用於沖洗工件基座的方法
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202129832A (zh) 2020-01-21 2021-08-01 荷蘭商Asm Ip 控股公司 用於均勻沉積之具有側壁隆起的基座及處理結晶基材之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
TW202143380A (zh) * 2020-03-21 2021-11-16 美商應用材料股份有限公司 用於快速氣體交換的基座幾何形狀
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242501A (en) 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
US4560420A (en) 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
JPH0410529A (ja) 1990-04-27 1992-01-14 Shin Etsu Handotai Co Ltd サセプタ及びウエーハ自動脱着装置
JPH0590238A (ja) * 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd 回転式基板処理装置の基板回転保持具
US5429498A (en) * 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5322078A (en) * 1993-02-18 1994-06-21 Kleer-Flo Company Aqueous parts washing apparatus
NL9300389A (nl) 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
JPH0718438A (ja) 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
JP3082603B2 (ja) 1994-11-22 2000-08-28 住友金属工業株式会社 ウエハ搬送装置
JPH0936049A (ja) 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
WO1997009737A1 (en) 1995-09-01 1997-03-13 Advanced Semiconductor Materials America, Inc. Wafer support system
US5853214A (en) * 1995-11-27 1998-12-29 Progressive System Technologies, Inc. Aligner for a substrate carrier
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6245152B1 (en) 1996-07-05 2001-06-12 Super Silicon Crystal Research Institute Corp. Method and apparatus for producing epitaxial wafer
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
KR19990031210U (ko) * 1997-12-30 1999-07-26 김영환 웨이퍼 척 장치
US6264467B1 (en) * 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
JP2000315720A (ja) 1999-04-28 2000-11-14 Ibiden Co Ltd セラミックス製の半導体製造用治具

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012108986A1 (de) * 2012-09-24 2014-03-27 Aixtron Se Substrathalter einer CVD-Vorrichtung

Also Published As

Publication number Publication date
JP2004519104A (ja) 2004-06-24
US20030049580A1 (en) 2003-03-13
US6634882B2 (en) 2003-10-21
KR20080069694A (ko) 2008-07-28
EP1348230A1 (de) 2003-10-01
EP1348230B1 (de) 2006-11-29
US6840767B2 (en) 2005-01-11
WO2002065510A1 (en) 2002-08-22
KR100893909B1 (ko) 2009-04-21
US20040137398A1 (en) 2004-07-15
DE60124952D1 (de) 2007-01-11
JP4247429B2 (ja) 2009-04-02
US20030198910A1 (en) 2003-10-23
KR20030063448A (ko) 2003-07-28
US6729875B2 (en) 2004-05-04

Similar Documents

Publication Publication Date Title
DE60124952T2 (de) Ausnehmungsprofil eines suszeptors zum verbessern des prozesses
DE69813014T2 (de) Verbesserte kleinmassige waferhaleeinrichtung
DE69835105T2 (de) System zur Temperaturreglung eines Wafers
DE60220787T2 (de) Glatter mehrteiliger substratträger für cvd
DE69934022T2 (de) Apparat und methode, substrate zu kühlen
DE60102669T2 (de) Vorrichtung und verfahren zur epitaktischen bearbeitung eines substrats
DE112010004736B4 (de) Aufnahmefür cvd und verfahren zur herstellung eines films unterverwendung derselben
EP1540717B1 (de) Verfahren zum oxidieren einer schicht und zugehörige aufnahmevorrichtungen für ein substrat
DE60022221T2 (de) Apparat für die bearbeitung von halbleitern
DE10082995B4 (de) Wafer-Haltevorrichtung
DE69628211T2 (de) Prozesskammer mit innerer Tragstruktur
DE112009001826B4 (de) Herstellungsverfahren für einen epitaktischen Wafer und die dabei zum Halten des Wafer verwendete Haltevorrichtung
DE102011108634B4 (de) Substrat-Bearbeitungs-Vorrichtung
DE69629412T2 (de) Anlage zur Dampfabscheidung von Dünnschichten
DE19649508B4 (de) Halter für Halbleiterplatten
DE69628761T2 (de) Anordnung zur thermischen behandlung und entsprechendes verfahren
DE60127252T2 (de) Epitaktischer siliziumwafer frei von selbstdotierung und rückseitenhalo
DE10296662T5 (de) Systeme und Verfahren zum epitaxialen Aufwachsen von Filmen auf ein Halbleitersubstrat
DE112012000726T5 (de) Suszeptor und Verfahren zum Herstellen eines Epitaxialwafers unter Verwendung desselben
DE102010026987B4 (de) Herstellvorrichtung und -verfahren für Halbleiterbauelement
JPH05166741A (ja) 熱処理装置用基板支持具
DE112007000345T5 (de) Suszeptor und Einrichtung zur Herstellung eines Epitaxie-Wafers
DE60302214T2 (de) Pumpenanschlusstück für prozesskammer für einzelne halbleiterscheiben frei von emissivitätsänderungen
DE112017001577T5 (de) Suszeptorträger
DE202015006765U1 (de) Waferträger mit einer Konfiguration mit 14 Taschen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition