JPH10284360A - 基板温度制御装置及び方法 - Google Patents

基板温度制御装置及び方法

Info

Publication number
JPH10284360A
JPH10284360A JP9083920A JP8392097A JPH10284360A JP H10284360 A JPH10284360 A JP H10284360A JP 9083920 A JP9083920 A JP 9083920A JP 8392097 A JP8392097 A JP 8392097A JP H10284360 A JPH10284360 A JP H10284360A
Authority
JP
Japan
Prior art keywords
substrate
temperature control
control device
temperature
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9083920A
Other languages
English (en)
Inventor
Masakazu Sugaya
昌和 菅谷
Fumio Murai
二三夫 村井
Yutaka Kaneko
金子  豊
Masabumi Kanetomo
正文 金友
Shigeki Hirasawa
茂樹 平澤
Tomoji Watanabe
智司 渡邊
Tatsuharu Yamamoto
立春 山本
Katsuhiro Kuroda
勝廣 黒田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP9083920A priority Critical patent/JPH10284360A/ja
Priority to TW087104403A priority patent/TW376543B/zh
Priority to KR10-1998-0010965A priority patent/KR100519613B1/ko
Publication of JPH10284360A publication Critical patent/JPH10284360A/ja
Priority to US09/526,460 priority patent/US6394797B1/en
Priority to US10/084,378 priority patent/US6518548B2/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 【課題】基板の温度を均一化し、かつ、昇温(降温)時
間を短縮することができる新規な基板温度制御装置を提
供すること。 【解決手段】同一平面をなす複数の突起部を表面に配置
した基板温度設定のための温度制御体(加熱体又は冷却
体)と、基板を温度制御体の方向に吸着することによっ
て当該基板を突起部上に固定する吸着機構とを設ける。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、基板を加熱又は冷
却する技術に関し、特に半導体装置等製造のリソグラフ
ィ工程に適用して好適な基板温度制御装置及び方法に関
する。
【0002】
【従来の技術】半導体装置の製造工程には、基板を加熱
及び冷却する工程が含まれ、特にリソグラフィ工程で
は、数回の加熱が行なわれる。基板を加熱する装置の例
として、加熱体に真空吸引機構を設け、基板を加熱体に
密着させる塗布装置がある(例えば特開昭62−537
73号公報参照)。また、基板を加熱体から僅かに浮か
して加熱するプロキシミティ方式を採用した装置の例も
多くあり(工業調査会発行「電子材料」誌1994年別
冊、第77頁〜第83頁参照)、例えば、図13に示す
レジストベーク用半導体ウェハ加熱装置がある。同装置
は、基板(半導体ウェハ)にレジストを塗布した後の工
程で使用するもので、同図は装置の概念図を示してい
る。図中、1は、レジストが塗布された半導体ウェハで
あり、ウェハ1は、リフトピン8及びこれを上下動させ
るアクチュエータ9により構成される昇降機構上に搬送
されたのち、加熱体51表面に配置された小さなブロッ
ク52の上に搭載される。加熱体51は、内部にヒータ
エレメント4が配置されており、熱電対6及び温調器7
からなる温度制御部によって所定の温度に保持される。
ウェハ1は、ブロック52によって加熱体51から0.
1mm程度浮いて設置される。
【0003】上記の加熱装置が化学増幅型レジストに対
する描画後のポストエクスポージャベーク(以下「PE
B」という)処理を対象として使用される場合、露光部
分のレジストが温度に極めて敏感に反応するため、温度
ばらつきは、±0.8℃程度に抑えられ、更にプロキシ
ミティ方式に基板上部の気流を制御することを加えた方
式では、±0.3℃程度に抑えられる。
【0004】しかしながら、近年、半導体装置の高集積
化に伴い、PEB処理の温度ばらつきは、更に厳しい値
が要求されるようになってきており、従来の加熱装置で
は、このような要求に応えられないという問題が発生し
ている。また、ウェハは、大口径化が進んでおり、温度
ばらつきの抑圧が一層困難になっている。
【0005】更に、ウェハの大口径化は、熱容量の増大
を招き、そのため所望の温度に達するのに時間が掛か
る、即ち昇温時間が増加するという新たな問題を引き起
こしている。8インチサイズのウェハを目標温度である
60℃〜150℃まで昇温させるのに約60秒の時間を
要している。昇温時間の増加は、製造工程のスループッ
ト(製造能率)を劣化させる。
【0006】
【本発明が解決しようとする課題】加熱体から基板への
熱伝達は、基板の加熱体からの浮上距離が前記のように
微小である場合、気体の対流による熱伝達が無視され、
フーリエの法則に従う気体の熱伝導が支配的になる。そ
のような熱伝導による場合には、後述するように、基板
と加熱体の温度差が浮上距離に比例する。従って、基板
の局部的な浮上距離のばらつきが、基板に温度ばらつき
を与えることになる。
【0007】浮上距離のばらつきは、主に基板の変形に
よって発生する。半導体ウェハの変形は、様々なプロセ
スを経ることで増大し、その形状は、複雑で予測不可能
なものである。また、変形は、ウェハの大口径化に伴っ
て拡大することが避けられない。そのため、変形を矯正
して基板を平坦化することが考えられる。
【0008】前記公報に示されている基板を加熱体に密
着させる方法は、基板の変形を矯正する一つの方法であ
るが、基板の裏面及び加熱体の表面に被着する異物の影
響が避けられず、常に安定にばらつきを抑えることが困
難である。
【0009】以上では、加熱装置に絞って説明したが、
冷却装置の場合も、加熱体が冷却体に代わることによっ
て熱の伝達方向が反対になるだけであり、問題点及び課
題は同じである。以下、加熱と冷却を総括して「温度制
御」ということとする。
【0010】本発明の目的は、従来技術の前記問題点を
解決し、基板の温度を均一化し、かつ、昇温(降温)時
間を短縮することができる新規な基板温度制御装置を提
供することにある。
【0011】
【課題を解決するための手段】本発明の前記課題は、同
一平面をなす突起部を表面に配置した温度制御体(加熱
体又は冷却体)と、基板を温度制御体の方向に加圧する
ことによって当該基板を突起部上に固定する基板圧着機
構とを設けることによって効果的に解決することができ
る。
【0012】突起部を設けることによって基板と温度制
御体の間には、突起部が接している接触部を介しての熱
伝達と気体層となっている非接触部を介しての熱伝達と
が形成されるが、気体に比べて突起部の熱伝導が非常に
大きいので突起部を介しての熱伝達が支配的になる。従
って、接触部の熱伝達を基板の全面に亘って均一化する
ことによって基板温度を均一化することができる。ま
た、熱伝達の効率が従来の気体層を介する場合に比べて
著しく高まるので、昇温(降温)時間を短縮することが
できる。また、基板の浮上距離は、同一平面をなす突起
部によって規制されるために揃うので、基板は、その変
形が矯正されて平坦になる。
【0013】尤も、突起部を用いて基板を固定する提案
は、特開昭62−45378号公報によって公開されて
いて公知である。しかし、同公報による装置は、単なる
塗布装置であり、回転台の真空用溝から基板を離し、溝
を有する回転台に密着した場合に発生する基板の温度む
らを抑えることを目的としている。そのため、温度制御
体からの温度伝達を利用しの基板の加熱(冷却)につい
ては一切言及しておらず、加熱(冷却)する基板の温度
ばらつきを抑えることは不可能である。
【0014】なお、本発明において、接触部の面積が広
いほど熱伝達の効率は高まるが、接触部において基板の
裏面及び加熱体の表面に被着する異物の影響が表われ、
温度ばらつきを招く確率が高くなる。接触部面積の裏面
全体面積に占める割合の望ましい上限は、実験の結果6
0%程度であることが判明している。一方、接触部面積
を減らすと、熱伝達の効率が低下し、昇温(降温)時間
の増加を招く。接触部面積の裏面全体面積に占める割合
の望ましい下限は、実験の結果0.5%程度であること
が判明している。この割合でも、接触部を介しての熱伝
達は、非接触部を介しての熱伝達よりも高い。特に好ま
しい範囲は、実験の結果、20%〜50%であった。
【0015】基板と温度制御体の間の接触部を介しての
熱伝達は、接触熱抵抗によって行なわれる。そのときの
両者の間で交換される熱量Qは、接触面積をSC、接触
熱抵抗をRCとすると、式(1)で表わすことができ
る。
【0016】
【数1】 Q=SCΔT/RC ・・・・・・・・・・・・・・・・(1) ここで、基板と温度制御体との間の温度差が場所に関わ
らず一様であるとすれば、基板と温度制御体で交換され
る単位面積当たりの熱量は、接触熱抵抗RCに反比例
し、そのばらつきに依存する。一般に良く知られる接触
熱抵抗RCを算出する式としては、基板と温度制御体の
それぞれの接触面の粗さをδ1,δ2、それぞれの熱伝導
率をλ1,λ2、接触圧力をP、接触部の熱抵抗をRO
ブリネル硬さをH、接触面に介在する気体の熱伝導率を
λfとするとき、式(2)で表わすことができる。
【0017】
【数2】
【0018】接触面の粗さδ1,δ2、熱伝導率λ1
λ2,λf、ブリネル硬さHは、物質固有の値であり、ま
た、接触部の熱抵抗ROは、経験的に求められている。
このため、接触熱抵抗RCは、接触圧力Pにより決定さ
れる。従って、吸着圧力Pを一定に管理することが望ま
しく、そのようにすることにより、圧力変動に伴う基板
裏面と加熱体表面の接触状態の変動を低減させ、一定の
接触熱抵抗を保持することができる。この一定の接触熱
抵抗によって接触部の熱伝達が基板の全面に亘って均一
化され、基板温度が均一になる。
【0019】さて、接触部を詳細に見ると、突起部と基
板裏面の接触面は、面粗さを形成する無数の微小の突起
とこれら突起間を埋める微細な気体空間とからなってお
り、接触熱抵抗を形成している。このことが、式(2)
のλfを含む項に示されている。基板の温度制御体の方
向への加圧を例えば真空吸着で行なう場合は、気体空間
の圧力を下げることになるが、圧力が10Torr程度以
上であれば、気体の熱伝導率λfは、常圧のそれとほぼ
等しく、接触熱抵抗の変化が少ない。しかし、上記程度
以下になると、気体の熱伝導率が下がり、接触熱抵抗が
増大して、熱伝達効率が低下する。
【0020】なお、前記したように気体層による非接触
部の熱伝達は、相対的に僅かであるが、ここでその熱量
関係について説明する。基板の浮上距離(本発明では、
突起部の高さと等しい)と温度制御体の間の気体による
熱伝達は、対流によるものと熱伝導によるものとがあ
る。浮上距離が微小である場合、対流は無視され、主に
熱伝導によって熱伝達が行なわれる。気体の熱伝導率を
λ、突起部が接触していない基板の非接触部の面積を
S、基板と温度制御体との間の温度差をΔT、浮上距離
をhとすると、非接触部の気体の熱伝導により基板と熱
制御体の間で交換される熱量QAは、フーリエの法則に
従って式(3)で表わすことができる。
【0021】
【数3】 QA=λSΔT/h ・・・・・・・・・・・・・(3) これから、温度差をΔTは、式(4)になる。
【0022】
【数4】 ΔT=hQ/λS ・・・・・・・・・・・・・・・(4) 式(3)から、浮上距離hが小さい程、同じ温度差ΔT
に対して熱量QAが少ない。また、式(4)は、基板の
面の局所にも成立するから、浮上距離hのばらつきが温
度差ΔTのばらつきになることが示される。本発明にお
いては、浮上距離hが同一平面をなす突起部によって規
制されるため揃うので、気体の熱伝導に基づく温度ばら
つきを抑えることができる。
【0023】前記したように突起部を経ての熱伝導が支
配的になるため、従来のような気体層を経ての熱伝導が
主となる場合に比べて突起部の高さに与える制限が大幅
に緩和される。しかし、真空吸着の場合、1mmを越え
ると吸引される気体の流れに乱れが生じ易くなり、ま
た、1μm以下になると密着の場合に問題になった付着
異物の影響が出易くなる。従って、突起部の高さの好ま
しい範囲は、1mm〜1μmと設定される。
【0024】更に、基板を温度制御体の方向に加圧して
固定する圧着機構は、特に制限は無いが、例えば真空吸
着又は静電吸着による機構を採用することができる。真
空吸着機構を採用する場合は、温度制御体の面に、複数
の突起部の他、これらを囲む周辺部が設置されるととも
に、真空吸引孔が設けられる。周辺部は、突起部と同一
の平面をなし、周辺部外側の空気を周辺部内側の空間に
流入させない真空封じ(真空シール)となるものであ
る。これらの突起部及び周辺部と基板に囲まれてできる
空間を真空吸引孔を用いて負圧に吸引することで半導体
ウェハは、温度制御体表面に等距離で固定される。
【0025】また、静電吸着機構を採用する場合は、温
度制御体を絶縁体で形成して絶縁体の中に電極を埋め込
み、基板と当該電極の間に静電圧を印加する機構が設け
られる。
【0026】いずれの場合も、形成される圧力が前記接
触圧力となるので、所定の値に一定に保たれるよう空間
の圧力を制御することが望ましい。真空吸着の場合、圧
力の下限を前記10Torrとし、接触抵抗の形成及び基
板の平坦化の観点から上限を700Torrとすることに
より好ましい結果が得られることが判明した。
【0027】
【発明の実施の形態】以下、本発明に係る基板温度制御
装置及び方法の実施の形態を図面に示した幾つかの実施
例を用いて更に詳細に説明する。なお、図面に示した同
一の記号は、同一物又は類似物を表示するものとする。
【0028】
【実施例】
<実施例1>本発明をレジストベーク用半導体ウェハ加
熱装置に適用した実施例を図1に示す。同図において、
2は、真空配管3とヒータエレメント4を内部に配置し
た加熱体、2aは、加熱体2の表面に設けた同一平面を
なす複数の突起部、2bは、突起部2aを包含し、か
つ、真空シールとなる周辺部を示す。
【0029】真空配管3の先は、加熱体2の面に設けた
複数の吸引孔(図示せず)につながっている。真空配管
3には圧力調整弁5が取り付けられており、圧力調整弁
5によりウェハ1を吸着する圧力が所望の値に保たれ
る。また、ヒータエレメント4の発熱量は、加熱体2に
埋め込まれた熱電対6と温調器7により制御され、加熱
体2を所定の温度に保つように調整される。
【0030】更に図1において、10は、加熱体2を支
持する支柱、11は、加熱体2の熱が支柱10に伝わら
ないように設けた断熱材、12は、支柱10を固定し、
加熱体2の台となるベースであり、加熱体2は、断熱材
11、支柱10を介してベース12に固定される。13
は、ベース12に固定されたチャンバで、チャンバ13
の中に基板1、加熱体2等が納められている。チャンバ
13には、外乱の影響を極力小さくするためのヒータ1
4が取り付けられており、ヒータ14の発熱量は、チャ
ンバ13に取り付けられた熱電対15と温調器16によ
り、チャンバ13の温度が定められた値になるように調
整される。
【0031】次に、加熱体2の構造の概要を図2に示
す。突起部2aは、接触部がウェハ1裏面に一様に分布
するように配置されている。従って、負圧に吸引される
部分も同様に一様に分布するので、ウェハ1が変形を有
する場合、変形を精度良く矯正することができ、均一な
接触を得ることができる。従って、圧力を圧力調整弁5
を用いて一定に保持することで接触熱抵抗RCをウェハ
1面内で均一に保つことが可能となる。その結果、各突
起部から伝達される熱量が均一になり、ウェハ1面内の
温度分布を均一にすることができる。また、接触部を一
様に分布させることによって温度分布を均一化する効果
を高めることができる。
【0032】更に、当然のことながら、ウェハ1の温度
分布を均一にするためには加熱体2自体の温度分布を均
一にする必要がある。そのため、加熱体2として熱伝導
性に優れた材料を用いることが有効となる。但し、アル
ミニウム、銅等の金属を用いる場合には金属汚染を防止
する必要がある。そこで、本実施例では、四弗化エチレ
ン樹脂をコーティングしたアルミニウムを用いた。
【0033】図3に本実施例で得られたウェハ1の昇温
特性を示す。図中のAは、本実施例の装置を用いて得ら
れた昇温線、Bは、比較のために示した従来のプロキシ
ミティ方式による装置の一般的な昇温線である。Tw
は、目標温度であり、加熱体2の温度を示す。本実施例
の装置を用いる場合、ウェハ1は、時間0にリフトピン
8の上に載せられ、時間t1で加熱体2上に吸着固定さ
れる。その後、ウェハ1は、時間t2で目標温度Twの9
9%に昇温する。時間t1からt2までの所要時間がウェ
ハ1の昇温時間に当たる。
【0034】この昇温時間は、ウェハ1の裏面の突起部
2aへの接触面積率により決定される。本実施例では、
接触面積率を44%として上記の昇温時間を15秒にす
ることができた。なお、本発明では、接触面積率を目標
温度Tw及び昇温時間の要求に対して設定することでこ
れらを任意に定めることが可能である。
【0035】一方、プロキシミティ方式を用いる場合、
ウェハ1は、時間0にて装置内に搬送され、時間t1に
所定の位置に設置される。その後、ウェハ1は、時間t
3で目標温度Twから数℃低い温度Tpに到達し飽和す
る。このとき要する昇温時間、即ちt1からt3までの時
間は、通常60秒程度である。このように、本発明の加
熱方式では従来に比べて昇温時間を大幅に短縮すること
ができ、プロセス時間の短縮が可能となる。従って、ス
ループットを向上することができる。
【0036】<実施例2>複数の外周部を設けた実施例
を図4に示す。図中、2cは、突起部2aを包含する複
数の外周部である。加熱対2とウェハ1により囲まれる
空間の圧力を小さくすると、外周部2a(図2参照)か
ら僅かながら空気が漏れて前記空間に流入することが避
けられない。空気流入は、ウェハ1周辺部の温度を下げ
る原因になる。このため、半導体ウェハの温度分布は中
心部が高く、周辺部が低くなる。この問題を解決するた
めに、同一平面をなす複数の突起部を包含する外周部を
同心円状の複数構造にし(外周部2c)、各外周部の間
に真空配管3につながる吸引孔3aを追加した。
【0037】これにより、各外周部の間の空間の圧力差
を小さくすることができ、真空シール部から流入する空
気量を低減することができる。これによって、ウェハ周
辺の温度降下を緩和することが可能となった。また、最
外周の外周部2cをウェハ1の外径に近づけることで、
吸着時のウェハ外周のせり上がりを防止することができ
る。
【0038】<実施例3>静電吸着によってウェハ1を
加圧するようにした実施例を図5に示す。図中、18
は、同一平面をなす複数の突起部2aを表面に加工した
絶縁体材料からなる加熱体、17は、加熱体18の内部
に配置した金属電極である。絶縁体材料として、炭化珪
素(SiC)を用いたが、これに限らず、熱伝導のよい
材料、例えば酸化アルミニウム(Al23)、窒化アル
ミニウム(AlN)を用いることができる。19は、金
属電極17に接続した電源であり、印加電圧を調整する
ために用いられる。
【0039】本実施例では、金属電極17に電圧を印加
することで加熱体18の表面とウェハ1との間に正負の
電荷を発生させ、この間に働くクーロン力とジョンセン
・ラーベック力を利用し、ウェハ1を加熱体18上に吸
着固定させる。なお、本実施例では、真空吸着の場合に
用いた外周部は必要としない。
【0040】本実施例においても、印加電圧を調整する
ことによって、ウェハ1面に均一に一定の接触圧力をウ
ェハ1に与えることができるので、実施例1の場合と同
様、接触熱抵抗RCをウェハ1面内で均一に保つことが
可能となる。その結果、各突起部から伝達される熱量が
均一になり、ウェハ1面内の温度分布を均一にすること
ができる。
【0041】当然のことながら、本実施例で示す発明
は、吸着のために大気圧との差圧を必要としないので、
真空雰囲気など、吸着のための差圧を充分に取れない雰
囲気においての使用が可能である。
【0042】なお、本実施例では電極が単極の場合を示
したが、これに限らず、双極とすることが可能であり、
同様の効果を得ることができる。双極とする場合は、加
熱体18の内部の同一面内に2個の電極を設け、双方の
電極の間に電圧を印加する。
【0043】<実施例4>基板1の上で加熱体2と対向
する位置に補助加熱体を設置した実施例を図6に示す。
同図において、20は、内部にヒータエレメント21を
配置した補助加熱体である。補助加熱体20は、基板1
から離して設置される。補助加熱体20に埋め込まれた
熱電対22の測定値が温調器23に与えられ、温調器2
3は、補助加熱体20の温度が所定の値になるようにヒ
ータエレメント21の発熱量の調整を行なう。また、補
助加熱体20は、断熱材24を介して支柱25により支
持されている。
【0044】なお、図中、26はリフトピン8と連動し
て動くシャッタであり、上下の加熱体で挟まれた空間の
側面を遮るものである。この機構を用いることでウェハ
1を加熱する空間を閉じ、ウェハ1の昇温時間の短縮及
びウェハ1上面に存在する気体からの影響の低減を可能
とした。
【0045】<実施例5>内部のヒータエレメントを省
略した補助加熱体を用いた実施例を図7に示す。図中、
27は、加熱体2と同等の熱容量を持つ補助加熱体であ
る。補助加熱体27は、ウェハ1を装置内に搬送する前
に加熱プレート2により十分に加熱され、加熱体2と同
程度の温度になってから使用される。
【0046】本実施例では、実施例4に比べて、補助加
熱体内部のヒータエレメントや温度調整部を必要としな
いため、同等の効果を安価に実現することができる。
【0047】<実施例6>実施例1の装置を用いた基板
加熱方法の一部を図8に示す。図8a〜8dは、ウェハ
1を加熱体2に固定するまでの工程を示している。
【0048】ウェハ1は、搬送ロボット等(図示せず)
により、リフトピン8上に搬送される(図8a)。次
に、リフトピン8を下降させる前に、圧力調整弁5を開
いて吸引を開始し、直ちに吸着が可能な状態にする(図
8b)。その後、リフトピン8を下降させて(図8
c)、ウェハ1を加熱体2上の突起部2aに固定する
(図8d)。
【0049】上記の工程を経ることで、ウェハ1は、吸
着機構が動作を開始してから加熱体2上に搭載され、昇
温時の温度分布のばらつきを最小限に抑えた状態で、直
ちに昇温を開始することが可能となる。なお、搭載され
る前のウェハ1に対して加熱体2が傾斜している場合で
も、ウェハ1は、加熱体2上を滑ること無く、所定の位
置に固定することができる。
【0050】上記は、実施例1の装置を用いた方法であ
るが、実施例2〜5の装置の場合も同様に、リフトピン
8を下降させる前に、吸着を開始する。実施例3の静電
吸着を用いる場合は、リフトピン8を下降させる前に、
内部の電極17に給電して吸着を開始する。
【0051】<実施例7>実施例1の基板加熱装置を用
いたMOS(Metal Oxide Semiconductor)型トランジ
スタの製造工程の一部を図9に示す。図9a〜9dは、
MOS型トランジスタのゲート電極の加工工程を示す断
面図である。図9a〜9dの右側にウェハ1全体の変形
を示す断面構造を示し、左側にゲート電極部の断面構造
を拡大して示す。
【0052】トランジスタの活性領域は、シリコン基板
28にシリコン酸化膜29で素子分離され、全面にポリ
シリコン30が被着されている。本実施例ではゲート電
極の加工のためにネガ型の化学増幅レジストを回転塗布
してレジスト層31を形成する。その後、レジスト層3
1の所定の領域に電子線32を用いて露光した(図9a
左側)。なお、電子線の代わりに、紫外線やX線を用い
る場合も露光機、レジスト材料が異なるだけで、基本的
には同様の工程の採用が可能である。ポジ型レジストを
用いたときには露光領域が逆転する。この段階でのウェ
ハ1の形状は、被着物のために反った形状をしている
(図9a右側)。
【0053】露光されたウェハ1は、図9bに示すよう
に加熱体2上に設けられた複数の突起部2aの上に置か
れ、真空配管3を通して裏面を真空吸着することによっ
てウェハ1の反りが矯正される。この状態になってから
加熱した。ウェハ1面内での温度分布は±0.1℃を少
し下回る程度であった。なお、比較のために、ウェハ形
状の矯正を行わないで加熱した場合を測定したが、温度
分布は±0.8℃であり、矯正の効果が確認された。ま
た、ウェハ1の突起部2aの接触面積比率を40%とし
た。加熱条件は、本レジストの場合110℃、2分であ
った。加熱の結果、レジスト内の露光領域には潜像34
が形成される(図9b)。
【0054】次に、レジスト31をアルカリ水溶液を用
いて現像処理を行ない、図9cに示すレジストパターン
38を得た。次に、弗素系のガスプラズマ中での反応性
ドライエッチングを用いてポリシリコンをエッチング
し、ゲート電極39を得た(図9d)。このときのポリ
シリコンのゲート電極39の平均寸法は0.2μmであ
り、ウェハ1面内の寸法分布は±0.02μm以内に制
御された。この値は,ウェハの反りを矯正しないで露光
後ベーク処理を行ったときの寸法分布±0.06μmに
比べると3倍の精度向上が図られていることが判明し
た。
【0055】LSIにおいては、処理速度と長期信頼性
がゲート電極の工程と精度に大きく依存するため、本発
明によって得られるゲート電極39の寸法ばらつきの少
ないLSIが結果的に高い性能を発揮する。このため、
本発明の装置及び方法の有効な応用例の一つに本実施例
で述べたようなLSI加工(特に論理LSIのゲート加
工)におけるレジストベーク処理工程がある。
【0056】なお、本実施例では、実施例1の基板加熱
装置を用いたが、実施例2〜実施例5のいずれの基板加
熱装置を用いることも可能であり、同様の効果を得るこ
とができる。
【0057】<実施例8>基板を冷却する装置の実施例
を図10に示す。図中、40は、内部に真空配管3及び
冷却媒体を循環させるための経路41を設けた冷却体で
ある。冷却体40の表面には、前記実施例で示した加熱
体2と同様に同一平面をなす複数の突起部2aとこれを
包含する外周部2bが設置されている。また、42は、
冷却媒体の温度を制御するユニットである。本実施例で
は、冷却媒体に水を使用したので、ユニット4として恒
温漕を採用した。43は、冷却媒体を経路41に供給し
て循環させるための経路である。
【0058】本実施例は、温度制御体が冷却体40であ
る以外は、前記の実施例の加熱装置の場合と同様の構造
を有しており、従って、加熱装置の場合と同様に、短い
降温時間と均一な温度分布で基板1の温度を冷却体40
の温度に制御することがことができる。
【0059】なお、本実施例では、基板1を冷却体40
に吸着固定するための手段として真空吸着を採用した
が、前記実施例に示すように、吸着機構として静電吸着
を用いることが可能であり、同様の効果を得ることが可
能である。その場合、更に、基板1の対向面に補助冷却
体を配置する構造の採用が云うまでもなく可能であり、
加熱装置の場合と同様、ウェハ1の降温時間の短縮及び
ウェハ1上面に存在する気体からの影響の低減の効果を
得ることができる。
【0060】<実施例9>基板加熱装置と描画装置を一
体化した基板処理装置の実施例を図11に示す。図中、
44は、レジストを塗布された半導体ウェハ、45は、
ウェハ44を収納するウェハカセット、50は電子線描
画装置、51は、実施例1〜実施例5の装置が有する少
なくとも一つ以上の特徴を有する基板加熱装置を示す。
【0061】ウェハ44は、搬送アーム46により、オ
リフラ検出器47に搬送され、オリフラが所定の位置に
合わされたのち、搬送アーム48により、ロードロック
室49内に搬送される。ウェハ44は、電子線描画装置
50により描画された後、ロードロック室49へ戻さ
れ、搬送アーム48により、オリフラ検出器47へ搬送
される。その後、ウェハ44は、搬送アーム46を用い
て直ちに基板加熱装置51に搬送され、加熱処理が行な
われる。
【0062】描画後の半導体ウェハを大気中に放置する
と、化学的な汚染等により描画パターンに変動が生じ、
精度の良いパターンを得ることが困難になるという問題
が生じる。この問題は、描画後に直ちに半導体ウェハを
加熱し、半導体ウェハに描画されているレジストパター
ンを安定化させることによって効果的に解決することが
できる。そこで本実施例では、基板加熱装置51を電子
線描画装置50と一体化することでこの問題を解決し
た。
【0063】なお、本実施例では、電子線描画装置を例
に説明したが、描画装置は、これに限定されることはな
く、レジストを露光することが可能な電磁波、例えば、
紫外線又はX線を用いた描画装置の使用が可能であるこ
とは云うまでもなく、同様の効果を得ることができる。
【0064】<実施例10>実施例1〜実施例5の基板
加熱装置のいずれか及び実施例8の基板冷却装置を使用
したリソグラフィ工程を図12に示す。同図の左列にリ
ソグラフィの各工程を示し、右列に各工程で使用する処
理装置を示す。
【0065】リソグラフィ工程に入った半導体ウェハに
対して、まず、塗布前ベーク60が行なわれ、表面に吸
着している水分によるレジスト密着性の低下を防止する
ための処理が行なわれる。続いて、ヘキサメチレンジシ
ラザンによる密着強化処理61が行なわれる。次に、同
処理が終了した半導体ウェハは、基板温度制御62の工
程を経たあとに室温状態になり、レジスト塗布63でレ
ジストが塗布される。レジスト塗布は、ウェハを回転さ
せながらレジストをウェハ上に滴下する方法によって行
なわれる。その後、半導体ウェハは、加熱体上で80〜
100℃程度でプリベーク64を受け、レジスト中の溶
媒を乾燥させる処理が行なわれる。
【0066】ここまでの処理は、レジスト処理装置の一
つであるコータ(レジスト塗布機)71内の各ユニット
を用いて連続的に処理される。本発明の基板加熱装置及
び基板冷却装置は、同ユニットとしてコータ71に収容
されており、塗布前ベーク60及びプリベーク64にお
いて基板加熱装置が使用され、基板温度制御62におい
て基板冷却装置が使用される。
【0067】次に、半導体ウェハは、電子線を応用した
露光機においてパターンの露光処理65を施される。な
お、露光機は、その他に紫外線又はX線を応用した装置
を用いることが可能である。露光後の半導体ウェハは、
加熱体上でPEB処理66が行なわれ、基板温度制御6
7の工程で再び室温状態に戻された後、現像68の工程
で現像される。現像後の半導体ウェハは、水洗された
後、ポストベーク69の工程にてレジスト中の水分を蒸
発させられる。
【0068】PEB処理66からポストベーク69まで
の処理は、デベロッパ(現像機)72と呼ばれる装置の
中の各ユニットを用いて連続的に処理される。本発明の
基板加熱装置及び基板冷却装置は、同ユニットとしてコ
ータ71に収容されており、PEB処理66及びポスト
ベーク69において基板加熱装置が使用され、基板温度
制御67において基板冷却装置が使用される。
【0069】以上の処理の後に半導体ウェハは、リソグ
ラフィ工程を出荷され、必要に応じてポストベーク69
後にDUV(遠紫外光)キュア処理70が行なわれてか
ら、次の工程に移される。なお、DUVキュア処理70
は、デベロッパ72内の一つのユニットとして含まれる
場合がある。
【0070】レジスト材料として化学増幅型のレジスト
を使用する場合、前記したように、高精度なパターンを
得るために露光後のPEB処理でのウェハの面内温度分
布が重要となる。本実施例では、本発明の基板加熱装置
をPEB処理の工程に用いることによって半導体ウェハ
の面内温度分布を±0.1℃に抑えることができた。な
お、半導体ウェハ裏面の突起部との接触率を40%に設
定した。
【0071】以上、本発明者によってなされた発明を実
施例に基づき具体的に説明したが、本発明は前記実施例
に限定されるものではなく、その要旨を逸脱しない範囲
において変更可能であることはいうまでもない。例え
ば、半導体ウェハを加熱する装置としてはレジストベー
ク炉に限定されず、ウェハの均一な加熱または冷却が必
要であるプロセス装置一般に広く適用することができ
る。
【0072】
【発明の効果】本発明によれば、同一平面をなす複数の
突起部を備えた真空吸着面又は静電吸着面を有する温度
制御体を用いることによって被加熱又は被冷却基板の変
形を矯正することが可能となり、更に、当該基板の裏面
と突起部との接触部を基板面に均一に分布させることに
よって接触部の接触熱抵抗を所定の値に裏面に均一に保
つことが可能となる。それによって、基板面の温度分布
の均一性を向上させることができる。また、基板と温度
制御体との間の熱の交換は、気体に比べて熱伝導が大き
い突起部を介しての交換が支配的となるため、昇温(降
温)時間を短縮することが可能となる。従って、製造工
程のスループットを向上させることができる。
【図面の簡単な説明】
【図1】本発明に係る基板温度制御装置の第1の実施例
を説明するための断面図。
【図2】第1の実施例の突起部を備えた加熱体を説明す
るための示す斜視断面図。
【図3】第1の実施例の効果を説明するための曲線図。
【図4】本発明の第2の実施例を説明するための斜視断
面図。
【図5】本発明の第3の実施例を説明するための断面
図。
【図6】本発明の第4の実施例を説明するための断面
図。
【図7】本発明の第5の実施例を説明するための断面
図。
【図8】本発明の第6の実施例を説明するための断面
図。
【図9】本発明の第7の実施例を説明するための断面
図。
【図10】本発明の第8の実施例を説明するための断面
図。
【図11】本発明の第9の実施例を説明するための斜視
図。
【図12】本発明の第10の実施例を説明するための工
程流れ図。
【図13】従来の基板加熱装置を説明するための断面
図。
【符号の説明】
1,44・・・半導体ウェハ 2,18・・・加熱体 2a・・・突起部 2b,2c・・・外周部 3・・・真空配管 3a・・・真空配管につながる孔 4,21・・・ヒータエレメント 5・・・圧力調整弁 20,27・・・補助加熱体 40・・・冷却体 41・・・冷却媒体経路 42・・・冷却媒体温度制御ユニット 43・・・循環経路 50・・・電子線描画装置 51・・・基板加熱装置
フロントページの続き (72)発明者 金友 正文 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 平澤 茂樹 茨城県土浦市神立町502番地 株式会社日 立製作所機械研究所内 (72)発明者 渡邊 智司 茨城県土浦市神立町502番地 株式会社日 立製作所機械研究所内 (72)発明者 山本 立春 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 黒田 勝廣 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内

Claims (23)

    【特許請求の範囲】
  1. 【請求項1】同一平面をなす複数の突起部を表面に配置
    した基板温度設定のための温度制御体と、基板を当該温
    度制御体の方向に吸着することによって当該基板を突起
    部上に固定する吸着機構とを具備してなることを特徴と
    する基盤温度制御装置。
  2. 【請求項2】前記温度制御体は、基板を加熱するための
    加熱体であることを特徴とする請求項1に記載の基盤温
    度制御装置。
  3. 【請求項3】前記温度制御体は、基板を冷却するための
    冷却体であることを特徴とする請求項1に記載の基盤温
    度制御装置。
  4. 【請求項4】前記吸着機構は、基板と温度制御体により
    囲まれた空間を負圧に吸引する真空吸着機構であり、前
    記温度制御体は、表面に複数の突起部を包含する真空封
    じのための外周部が更に設置されており、当該外周部
    は、突起部と同一平面をなすことを特徴とする請求項1
    に記載の基盤温度制御装置。
  5. 【請求項5】前記吸着機構は、基板と温度制御体内部に
    設けた電極との間に電圧を印加することによって発生す
    る静電気力を利用した静電吸着機構であることを特徴と
    する請求項1に記載の基盤温度制御装置。
  6. 【請求項6】基板と対向する面に空間を隔て補助温度制
    御体が設置され、当該補助温度制御体は、基板を覆う面
    を有し、かつ、前記温度制御体とほぼ等しい温度を有す
    るものであることを特徴とする請求項1〜請求項5のい
    ずれか一に記載の基盤温度制御装置。
  7. 【請求項7】前記補助温度制御体は、前記温度制御体を
    越える熱容量を有するものであることを特徴とする請求
    項6に記載の基盤温度制御装置。
  8. 【請求項8】前記真空吸着機構は、基板と温度制御体に
    より囲まれた空間の圧力を一定に保持する圧力調整手段
    を有することを特徴とする請求項4に記載の基盤温度制
    御装置。
  9. 【請求項9】基板と温度制御体により囲まれた空間の圧
    力は、700Torrから10Torrの間の所定の値に保持
    されていることを特徴とする請求項8に記載の基盤温度
    制御装置。
  10. 【請求項10】基板に突起部が接触する接触面積は、基
    板の裏面面積の60%から0.5%の範囲にあることを
    特徴とする請求項1〜請求項9のいずれか一に記載の基
    盤温度制御装置。
  11. 【請求項11】前記突起部は、高さが1mmから1μm
    の範囲であることを特徴とする請求項1〜請求項10の
    いずれか一に記載の基盤温度制御装置。
  12. 【請求項12】前記外周部は、同心円状をなす複数から
    なることを特徴とする請求項4に記載の基盤温度制御装
    置。
  13. 【請求項13】基板と補助温度制御体に囲まれた空間の
    側面を包含する開閉機構を有することを特徴とする請求
    項6に記載の基盤温度制御装置。
  14. 【請求項14】前記突起部は、温度制御体の表面の所定
    の範囲に一定の間隔で配置されていることを特徴とする
    請求項1乃至請求項13のいずれか一に記載の基板温度
    制御装置。
  15. 【請求項15】前記加熱体の材料が四弗化エチレン樹脂
    を表面にコーティングした金属であることを特徴とする
    請求項2に記載の基板温度制御装置。
  16. 【請求項16】前記加熱体の材料が炭化珪素、酸化アル
    ミニウム及び窒化アルミニウムのいずれ一つを選択して
    なる絶縁体材料であることを特徴とする請求項3に記載
    の基板温度制御装置。
  17. 【請求項17】前記真空吸着機構が吸引を開始してから
    後に基板を突起部上に搭載することを特徴とする請求項
    4又は請求項12に記載の基盤温度制御装置を用いた基
    板温度制御方法。
  18. 【請求項18】前記静電吸着機構の電極に電圧を印加し
    てから後に基板を突起部上に搭載することを特徴とする
    請求項5に記載の基盤温度制御装置を使用した基板温度
    制御方法。
  19. 【請求項19】基板にレジストを塗布する工程と、当該
    レジストが塗布された基板を前記圧着機構を用いて突起
    部上に搭載してから後に基板への温度制御を実行する工
    程とからなることを特徴とする請求項1〜請求項16の
    いずれか一に記載の基盤温度制御装置を使用した基板処
    理方法。
  20. 【請求項20】基板に化学増幅型レジストを塗布する工
    程と、紫外線、電子線及びX線のいずれか一つを選択し
    て当該基板上の化学増幅型レジストを露光する工程と、
    露光後の基板を前記圧着機構によって突起部上に搭載し
    てから後に基板への温度制御を実行する工程とからなる
    ことを特徴とする請求項1〜請求項16のいずれか一に
    記載の基盤温度制御装置を使用した基板処理方法。
  21. 【請求項21】基板が半導体ウェハであり、少なくとも
    1個の半導体ウェハを処理する処理室を有し、当該処理
    室に請求項1〜請求項16のいずれか一に記載の基盤温
    度制御装置を備えてなることを特徴とする半導体処理装
    置。
  22. 【請求項22】請求項1〜請求項16のいずれか一に記
    載の基盤温度制御装置と紫外線描画装置、電子線描画装
    置及びX線描画装置のいずれか一つの装置とを一体化し
    てなるとともに、温度制御を行なう基板がレジストを塗
    布した半導体ウェハであることを特徴とする半導体処理
    装置。
  23. 【請求項23】基板が半導体ウェハであり、当該半導体
    ウェハにレジストを塗布する工程と、紫外線、電子線及
    びX線のいずれか一つを選択して当該基板上のレジスト
    を露光又は描画する工程と、露光又は描画後の基板のポ
    ストエクスポージャベークを請求項1〜請求項16のい
    ずれか一に記載の基盤温度制御装置を用いて実行する工
    程とからなることを特徴とする半導体処理方法。
JP9083920A 1997-04-02 1997-04-02 基板温度制御装置及び方法 Pending JPH10284360A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP9083920A JPH10284360A (ja) 1997-04-02 1997-04-02 基板温度制御装置及び方法
TW087104403A TW376543B (en) 1997-04-02 1998-03-24 Substrate temperature control equipment and method
KR10-1998-0010965A KR100519613B1 (ko) 1997-04-02 1998-03-30 기판온도제어장치및방법
US09/526,460 US6394797B1 (en) 1997-04-02 2000-03-16 Substrate temperature control system and method for controlling temperature of substrate
US10/084,378 US6518548B2 (en) 1997-04-02 2002-02-28 Substrate temperature control system and method for controlling temperature of substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9083920A JPH10284360A (ja) 1997-04-02 1997-04-02 基板温度制御装置及び方法

Publications (1)

Publication Number Publication Date
JPH10284360A true JPH10284360A (ja) 1998-10-23

Family

ID=13816049

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9083920A Pending JPH10284360A (ja) 1997-04-02 1997-04-02 基板温度制御装置及び方法

Country Status (4)

Country Link
US (2) US6394797B1 (ja)
JP (1) JPH10284360A (ja)
KR (1) KR100519613B1 (ja)
TW (1) TW376543B (ja)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006135080A (ja) * 2004-11-05 2006-05-25 Toshiba Corp パターン形成方法
JP2006319093A (ja) * 2005-05-12 2006-11-24 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2007158076A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2007158168A (ja) * 2005-12-07 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2007158077A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2007158075A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2007258443A (ja) * 2006-03-23 2007-10-04 Dainippon Screen Mfg Co Ltd 熱処理装置と基板吸着方法
JP2008177303A (ja) * 2007-01-17 2008-07-31 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
US7663860B2 (en) 2003-12-05 2010-02-16 Tokyo Electron Limited Electrostatic chuck
US8003919B2 (en) 2005-12-06 2011-08-23 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
US8138456B2 (en) 2006-05-08 2012-03-20 Tokyo Electron Limited Heat processing method, computer-readable storage medium, and heat processing apparatus
JP2012099787A (ja) * 2010-10-07 2012-05-24 Tokyo Electron Ltd 熱処理装置および熱処理方法
JP2012151247A (ja) * 2011-01-18 2012-08-09 Tokyo Electron Ltd 基板加熱装置、基板加熱方法及び記憶媒体
JP2014154819A (ja) * 2013-02-13 2014-08-25 Shindengen Electric Mfg Co Ltd ステージ、および該ステージを用いた半導体ウェーハの検査方法
JP2015162597A (ja) * 2014-02-27 2015-09-07 株式会社Screenホールディングス 基板処理装置および基板処理方法
US10253361B2 (en) 2002-07-30 2019-04-09 Applied Biosystems, Llc Sample block apparatus and method for maintaining a microcard on a sample block
US10825713B2 (en) 2014-02-27 2020-11-03 SCREEN Holdings Co., Ltd. Substrate processing apparatus and substrate processing method
WO2021072199A1 (en) * 2019-10-11 2021-04-15 Applied Materials, Inc. Pedestal heater for spatial multi-wafer processing tool

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
JP2000077318A (ja) * 1998-08-26 2000-03-14 Tokyo Electron Ltd 熱処理装置
US6402509B1 (en) * 1999-09-03 2002-06-11 Tokyo Electron, Limited Substrate processing apparatus and substrate processing method
US6705394B1 (en) * 1999-10-29 2004-03-16 Cvc Products, Inc. Rapid cycle chuck for low-pressure processing
JP4522527B2 (ja) * 2000-03-06 2010-08-11 キヤノンアネルバ株式会社 半導体製造装置における基板搭載方法
JP2002025758A (ja) * 2000-05-02 2002-01-25 Ibiden Co Ltd ホットプレートユニット
US6838115B2 (en) * 2000-07-12 2005-01-04 Fsi International, Inc. Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
JP4328003B2 (ja) * 2000-10-19 2009-09-09 日本碍子株式会社 セラミックヒーター
US6685467B1 (en) 2000-10-24 2004-02-03 Advanced Micro Devices, Inc. System using hot and cold fluids to heat and cool plate
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
JP2002353102A (ja) * 2001-05-23 2002-12-06 Hitachi Ltd 半導体装置の製造方法
JP3781347B2 (ja) * 2001-05-23 2006-05-31 住友重機械工業株式会社 ウエハーチャック
JP3900154B2 (ja) * 2001-05-31 2007-04-04 信越半導体株式会社 半導体ウェーハの製造方法及びそれに用いられるサセプタ
EP1569757A4 (en) * 2001-06-01 2006-11-15 Litrex Corp MICRO-POSITIONING APPARATUS FOR MULTIPLE LIQUID MATERIALS
US7160105B2 (en) * 2001-06-01 2007-01-09 Litrex Corporation Temperature controlled vacuum chuck
US7033445B2 (en) * 2001-12-27 2006-04-25 Asm America, Inc. Gridded susceptor
US6771086B2 (en) * 2002-02-19 2004-08-03 Lucas/Signatone Corporation Semiconductor wafer electrical testing with a mobile chiller plate for rapid and precise test temperature control
JP3791432B2 (ja) * 2002-02-27 2006-06-28 住友電気工業株式会社 半導体製造用加熱装置
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US6597964B1 (en) * 2002-05-08 2003-07-22 Taiwan Semiconductor Manufacturing Co., Ltd Thermocoupled lift pin system for etching chamber
JP2004055722A (ja) * 2002-07-18 2004-02-19 Renesas Technology Corp 洗浄装置、基板の洗浄方法および半導体装置の製造方法
US20040053514A1 (en) * 2002-08-27 2004-03-18 Ali Shajii Apparatus for cooling a substrate through thermal conduction in the viscous regime
US6905333B2 (en) * 2002-09-10 2005-06-14 Axcelis Technologies, Inc. Method of heating a substrate in a variable temperature process using a fixed temperature chuck
EP1540259A2 (en) * 2002-09-10 2005-06-15 FSI International, Inc. Thermal process station with heated lid
US6709267B1 (en) 2002-12-27 2004-03-23 Asm America, Inc. Substrate holder with deep annular groove to prevent edge heat loss
US20040187787A1 (en) * 2003-03-31 2004-09-30 Dawson Keith E. Substrate support having temperature controlled substrate support surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7993460B2 (en) 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control
US7129731B2 (en) * 2003-09-02 2006-10-31 Thermal Corp. Heat pipe with chilled liquid condenser system for burn-in testing
US20050067146A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Two phase cooling system method for burn-in testing
US7013956B2 (en) * 2003-09-02 2006-03-21 Thermal Corp. Heat pipe evaporator with porous valve
US20050067147A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Loop thermosyphon for cooling semiconductors during burn-in testing
US20050221358A1 (en) * 2003-09-19 2005-10-06 Carrillo Albert L Pressure chamber clamp mechanism
US20050226779A1 (en) 2003-09-19 2005-10-13 Oldham Mark F Vacuum assist for a microplate
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4636807B2 (ja) * 2004-03-18 2011-02-23 キヤノン株式会社 基板保持装置およびそれを用いた露光装置
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
JP4765328B2 (ja) * 2004-04-16 2011-09-07 東京エレクトロン株式会社 被処理体の処理装置
DE102004024649B4 (de) * 2004-05-18 2007-02-01 Thallner, Erich, Dipl.-Ing. Justiereinrichtung und Vorrichtung zum Justieren eines Wafers
US7532310B2 (en) * 2004-10-22 2009-05-12 Asml Netherlands B.V. Apparatus, method for supporting and/or thermally conditioning a substrate, a support table, and a chuck
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7274005B2 (en) * 2004-12-22 2007-09-25 Sokudo Co., Ltd. Bake plate having engageable thermal mass
US7741585B2 (en) * 2004-12-22 2010-06-22 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with two-axis movement
US7601934B2 (en) * 2004-12-22 2009-10-13 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with a temperature controlled surface
US20070295276A1 (en) * 2004-12-22 2007-12-27 Sokudo Co., Ltd. Bake plate having engageable thermal mass
US20060144337A1 (en) * 2005-01-06 2006-07-06 Hsien-Che Teng Heater for heating a wafer and method for preventing contamination of the heater
JP4934595B2 (ja) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
JP4421501B2 (ja) * 2005-03-25 2010-02-24 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP2006292535A (ja) * 2005-04-11 2006-10-26 Omron Corp 距離推定装置、異常検出装置、温度調節器および熱処理装置
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7694583B2 (en) * 2005-05-05 2010-04-13 Control Gaging, Inc. Gripper gage assembly
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US7470919B2 (en) * 2005-09-30 2008-12-30 Applied Materials, Inc. Substrate support assembly with thermal isolating plate
US20070077326A1 (en) * 2005-09-30 2007-04-05 Smith Kristina L Childproof Press for Fusing Beads
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
JP4755498B2 (ja) * 2006-01-06 2011-08-24 東京エレクトロン株式会社 加熱装置及び加熱方法
EP1840940B8 (de) * 2006-03-28 2014-11-26 Thallner, Erich, Dipl.-Ing. Vorrichtung und Verfahren zum Beschichten eines mikro- und/oder nanostrukturierten Struktursubstrats
US20070237897A1 (en) * 2006-03-28 2007-10-11 Erich Thallner Device and method for coating a microstructured and/or nanostructured structural substrate
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP2007329008A (ja) * 2006-06-07 2007-12-20 Tokyo Electron Ltd 熱板及びその製造方法
JP4805741B2 (ja) * 2006-07-14 2011-11-02 Okiセミコンダクタ株式会社 半導体製造装置および半導体装置の製造方法
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7563007B2 (en) * 2006-12-04 2009-07-21 Ford Global Technologies, Llc Bumper cover and lamp housing assembly with integral fasteners for independent removal of parts from a vehicle
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US20080160462A1 (en) * 2007-01-03 2008-07-03 Sokudo Co., Ltd. Method and system for bake plate heat transfer control in track lithography tools
JP2008218738A (ja) * 2007-03-05 2008-09-18 Espec Corp サーマルプレート、及び試験装置
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
US8052419B1 (en) * 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
JP4457242B2 (ja) * 2007-11-30 2010-04-28 Okiセミコンダクタ株式会社 半導体装置の製造方法
JP5158093B2 (ja) * 2007-12-06 2013-03-06 信越半導体株式会社 気相成長用サセプタおよび気相成長装置
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
US8283644B2 (en) 2008-01-08 2012-10-09 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
SG156589A1 (en) * 2008-04-29 2009-11-26 United Test & Assembly Ct Lt Oven control system and methods
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US20090312830A1 (en) * 2008-06-17 2009-12-17 Mcnulty Sean Balloon arc profile control
US8715331B2 (en) * 2008-08-06 2014-05-06 Boston Scientific Scimed, Inc. Stent edge protection and methods
US8652260B2 (en) * 2008-08-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for holding semiconductor wafers
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP5705133B2 (ja) * 2009-02-04 2015-04-22 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 静電チャックシステムおよび基板表面に亘って温度プロファイルを半径方向に調整するための方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5751895B2 (ja) 2010-06-08 2015-07-22 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
JP5810517B2 (ja) * 2010-12-02 2015-11-11 富士電機株式会社 吸着装置および吸着方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013103594A1 (en) 2012-01-06 2013-07-11 Novellus Systems, Inc. Adaptive heat transfer methods and systems for uniform heat transfer
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
DE102012022067A1 (de) * 2012-11-09 2014-05-15 Centrotherm Photovoltaics Ag Substrathalter sowie eine vorrichtung und ein verfahren zum behandeln von substraten
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9645391B2 (en) 2013-11-27 2017-05-09 Tokyo Electron Limited Substrate tuning system and method using optical projection
WO2015081167A1 (en) * 2013-11-27 2015-06-04 Tokyo Electron Limited Substrate tuning system and method using optical projection
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
CN103760753B (zh) * 2013-12-31 2017-04-12 深圳市华星光电技术有限公司 基板烘烤装置及其温度调节方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
KR102361710B1 (ko) 2014-05-21 2022-02-10 어플라이드 머티어리얼스, 인코포레이티드 열 처리 서셉터
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US10832931B2 (en) * 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101557141B1 (ko) 2015-07-20 2015-10-05 주식회사 나래나노텍 개선된 기판 흡착용 에어 배관 장치, 및 이를 구비한 기판 흡착 스테이지, 흡착 방법, 및 코팅 장치
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
KR102283403B1 (ko) * 2016-01-13 2021-07-28 어플라이드 머티어리얼스, 인코포레이티드 기판을 홀딩하기 위한 홀딩 어레인지먼트, 기판을 지지하기 위한 캐리어, 진공 프로세싱 시스템, 기판을 홀딩하기 위한 방법, 및 기판을 릴리스하기 위한 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
USD799646S1 (en) * 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10126053B2 (en) * 2016-09-02 2018-11-13 International Business Machines Corporation Precision dual annealing apparatus
US10570015B2 (en) 2016-09-02 2020-02-25 International Business Machines Corporation Minimizing tin loss during thermal processing of kesterite films
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6215426B1 (ja) * 2016-09-21 2017-10-18 オリジン電気株式会社 加熱装置及び板状部材の製造方法
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR101884853B1 (ko) * 2016-12-30 2018-08-02 세메스 주식회사 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
USD859484S1 (en) * 2017-06-12 2019-09-10 Asm Ip Holding B.V. Heater block
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108711556B (zh) * 2018-05-25 2020-06-19 北京北方华创微电子装备有限公司 去气腔室以及去气方法
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD927575S1 (en) * 2019-01-18 2021-08-10 Shinkawa Ltd. Heater block for bonding apparatus
JP7249814B2 (ja) * 2019-03-04 2023-03-31 株式会社Screenホールディングス 熱処理装置および熱処理方法
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US20220049350A1 (en) * 2020-08-13 2022-02-17 Applied Materials, Inc. Apparatus design for photoresist deposition

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4037830A (en) 1976-09-07 1977-07-26 International Business Machines Corporation Wafer handler
USRE31053E (en) 1978-01-23 1982-10-12 Bell Telephone Laboratories, Incorporated Apparatus and method for holding and planarizing thin workpieces
US4213698A (en) 1978-12-01 1980-07-22 Bell Telephone Laboratories, Incorporated Apparatus and method for holding and planarizing thin workpieces
US4518848A (en) * 1981-05-15 1985-05-21 Gca Corporation Apparatus for baking resist on semiconductor wafers
US4551192A (en) 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
JPS6216509A (ja) 1985-07-15 1987-01-24 Seiko Instr & Electronics Ltd 半導体装置用基板の製造方法
JPS6245378A (ja) 1985-08-23 1987-02-27 Hitachi Ltd 塗布装置
JPS6253773A (ja) 1985-08-30 1987-03-09 Nec Corp 有機膜塗布装置
JP3020523B2 (ja) 1989-11-13 2000-03-15 株式会社日立製作所 図形露光装置とその方法
US5094885A (en) 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
JP3604706B2 (ja) 1992-07-23 2004-12-22 キヤノン株式会社 成膜方法
JPH06244269A (ja) 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5343012A (en) * 1992-10-06 1994-08-30 Hardy Walter N Differentially pumped temperature controller for low pressure thin film fabrication process
JPH06158361A (ja) 1992-11-20 1994-06-07 Hitachi Ltd プラズマ処理装置
US5460684A (en) 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
KR100238629B1 (ko) 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
US5695568A (en) * 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5738165A (en) 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
US5484485A (en) 1993-10-29 1996-01-16 Chapman; Robert A. Plasma reactor with magnet for protecting an electrostatic chuck from the plasma
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5474877A (en) 1994-02-24 1995-12-12 Nec Corporation Method for developing a resist pattern
TW320749B (ja) 1994-09-22 1997-11-21 Tokyo Electron Co Ltd
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5730803A (en) 1996-02-23 1998-03-24 Applied Materials, Inc. Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
KR100428428B1 (ko) * 1996-04-12 2004-04-28 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
US5720818A (en) 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
JP3154324B2 (ja) 1996-05-15 2001-04-09 トヨタ自動車株式会社 内燃機関の蒸発燃料処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5904779A (en) 1996-12-19 1999-05-18 Lam Research Corporation Wafer electrical discharge control by wafer lifter system
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10253361B2 (en) 2002-07-30 2019-04-09 Applied Biosystems, Llc Sample block apparatus and method for maintaining a microcard on a sample block
US7663860B2 (en) 2003-12-05 2010-02-16 Tokyo Electron Limited Electrostatic chuck
JP2006135080A (ja) * 2004-11-05 2006-05-25 Toshiba Corp パターン形成方法
JP2006319093A (ja) * 2005-05-12 2006-11-24 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US7432476B2 (en) 2005-05-12 2008-10-07 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
JP4666473B2 (ja) * 2005-05-12 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
US8003919B2 (en) 2005-12-06 2011-08-23 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
JP2007158075A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2007158077A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP2007158076A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US7718925B2 (en) 2005-12-06 2010-05-18 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
US8608885B2 (en) 2005-12-07 2013-12-17 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
JP2007158168A (ja) * 2005-12-07 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP4666496B2 (ja) * 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
JP2007258441A (ja) * 2006-03-23 2007-10-04 Dainippon Screen Mfg Co Ltd 熱処理装置
KR100831446B1 (ko) 2006-03-23 2008-05-22 다이닛뽕스크린 세이조오 가부시키가이샤 기판지지구조와, 이것을 사용한 열처리장치와,기판지지구조에 사용되는 제1시트형상물과, 기판지지구조의제조방법과, 열처리장치와, 기판흡착방법
JP2007258443A (ja) * 2006-03-23 2007-10-04 Dainippon Screen Mfg Co Ltd 熱処理装置と基板吸着方法
US7927096B2 (en) 2006-03-23 2011-04-19 Dainippon Screen Mfg. Co., Ltd. Substrate support structure, heat treatment apparatus using same, first sheet-like object for use in the substrate support structure, method of manufacturing the substrate support structure, heat treatment apparatus, and substrate sucking method
US8138456B2 (en) 2006-05-08 2012-03-20 Tokyo Electron Limited Heat processing method, computer-readable storage medium, and heat processing apparatus
US8748780B2 (en) 2007-01-17 2014-06-10 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and computer-readable storage medium
JP2008177303A (ja) * 2007-01-17 2008-07-31 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
JP2012099787A (ja) * 2010-10-07 2012-05-24 Tokyo Electron Ltd 熱処理装置および熱処理方法
JP2012151247A (ja) * 2011-01-18 2012-08-09 Tokyo Electron Ltd 基板加熱装置、基板加熱方法及び記憶媒体
JP2014154819A (ja) * 2013-02-13 2014-08-25 Shindengen Electric Mfg Co Ltd ステージ、および該ステージを用いた半導体ウェーハの検査方法
JP2015162597A (ja) * 2014-02-27 2015-09-07 株式会社Screenホールディングス 基板処理装置および基板処理方法
US10825713B2 (en) 2014-02-27 2020-11-03 SCREEN Holdings Co., Ltd. Substrate processing apparatus and substrate processing method
WO2021072199A1 (en) * 2019-10-11 2021-04-15 Applied Materials, Inc. Pedestal heater for spatial multi-wafer processing tool
US11430686B2 (en) 2019-10-11 2022-08-30 Applied Materials, Inc. Pedestal heater for spatial multi-wafer processing tool
US11682576B2 (en) 2019-10-11 2023-06-20 Applied Materials, Inc. Pedestal heater for spatial multi-wafer processing tool

Also Published As

Publication number Publication date
US20020113056A1 (en) 2002-08-22
KR100519613B1 (ko) 2005-12-30
US6518548B2 (en) 2003-02-11
KR19980080844A (ko) 1998-11-25
TW376543B (en) 1999-12-11
US6394797B1 (en) 2002-05-28

Similar Documents

Publication Publication Date Title
JPH10284360A (ja) 基板温度制御装置及び方法
JP4948587B2 (ja) フォトレジスト塗布現像装置、基板搬送方法、インターフェイス装置
US6753508B2 (en) Heating apparatus and heating method
US8748780B2 (en) Substrate processing apparatus, substrate processing method, and computer-readable storage medium
JP4410147B2 (ja) 加熱装置、塗布、現像装置及び加熱方法
US7372690B2 (en) Substrate holder which is self-adjusting for substrate deformation
US8138456B2 (en) Heat processing method, computer-readable storage medium, and heat processing apparatus
US7968260B2 (en) Substrate processing method, computer-readable storage medium, and substrate processing system
US10964564B2 (en) Heating treatment apparatus and heating treatment method
US6552308B2 (en) Substrate temperature adjustment apparatus for estimating a time taken until a substrate temperature falls within a target temperature range
JP2001274069A (ja) レジストパターン形成方法及び半導体製造システム
JP2000114343A (ja) 基板処理方法および基板搬送装置
JP3898895B2 (ja) 加熱処理装置及び加熱処理方法
JP2003051439A (ja) 熱処理方法及び熱処理装置
JP3619876B2 (ja) 加熱処理装置
JPH11330212A (ja) 基板冷却装置および基板冷却方法
JP7291515B2 (ja) 基板処理システム、基板処理方法、記憶媒体及び基板処理システムの制御装置
US20080076077A1 (en) Apparatus and method for heating semiconductor wafers with improved temperature uniformity
JP4781165B2 (ja) 熱処理装置
JP2001237156A (ja) 加熱処理装置
KR20190068121A (ko) 베이크공정용 가열유닛 및 이를 포함하는 베이크장치
JP3626284B2 (ja) マスク基板の熱処理方法とその装置
US20080124947A1 (en) Manufacturing apparatus for semiconductor device and manufacturing method of semiconductor device
JP2001237171A (ja) 加熱処理装置
JP2002203778A (ja) 加熱処理装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040309

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061128

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070327