KR100519613B1 - 기판온도제어장치및방법 - Google Patents
기판온도제어장치및방법 Download PDFInfo
- Publication number
- KR100519613B1 KR100519613B1 KR10-1998-0010965A KR19980010965A KR100519613B1 KR 100519613 B1 KR100519613 B1 KR 100519613B1 KR 19980010965 A KR19980010965 A KR 19980010965A KR 100519613 B1 KR100519613 B1 KR 100519613B1
- Authority
- KR
- South Korea
- Prior art keywords
- substrate
- temperature control
- temperature
- control body
- protrusions
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 214
- 238000000034 method Methods 0.000 title claims abstract description 69
- 239000004065 semiconductor Substances 0.000 claims abstract description 39
- 230000008569 process Effects 0.000 claims abstract description 32
- 238000004519 manufacturing process Methods 0.000 claims abstract description 9
- 238000010438 heat treatment Methods 0.000 claims description 103
- 238000001179 sorption measurement Methods 0.000 claims description 40
- 238000001816 cooling Methods 0.000 claims description 22
- 238000012546 transfer Methods 0.000 claims description 19
- 238000012545 processing Methods 0.000 claims description 18
- 230000002093 peripheral effect Effects 0.000 claims description 13
- 238000010894 electron beam technology Methods 0.000 claims description 12
- 229910052751 metal Inorganic materials 0.000 claims description 6
- 239000002184 metal Substances 0.000 claims description 6
- 239000011347 resin Substances 0.000 claims description 2
- 229920005989 resin Polymers 0.000 claims description 2
- BFKJFAAPBSQJPD-UHFFFAOYSA-N tetrafluoroethene Chemical group FC(F)=C(F)F BFKJFAAPBSQJPD-UHFFFAOYSA-N 0.000 claims description 2
- 238000003672 processing method Methods 0.000 claims 2
- 230000000903 blocking effect Effects 0.000 claims 1
- 238000005538 encapsulation Methods 0.000 claims 1
- 238000009826 distribution Methods 0.000 abstract description 16
- 230000000694 effects Effects 0.000 abstract description 10
- 238000001459 lithography Methods 0.000 abstract description 5
- 238000004904 shortening Methods 0.000 abstract description 2
- 235000012431 wafers Nutrition 0.000 description 81
- 239000000463 material Substances 0.000 description 7
- 230000001105 regulatory effect Effects 0.000 description 6
- 239000002826 coolant Substances 0.000 description 5
- 239000012212 insulator Substances 0.000 description 5
- 239000011248 coating agent Substances 0.000 description 4
- 238000000576 coating method Methods 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 230000001276 controlling effect Effects 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 230000001464 adherent effect Effects 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- 230000003190 augmentative effect Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 230000008570 general process Effects 0.000 description 1
- 230000020169 heat generation Effects 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 230000005404 monopole Effects 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 230000002250 progressing effect Effects 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 238000005728 strengthening Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
Description
Claims (34)
- 그의 표면상에 여러개의 돌기부를 갖고 기판의 온도를 설정하는 온도 제어체 및 상기 온도제어체를 향해서 기판을 흡착하는 것에 의해 상기 여러개의 돌기부와 접촉시켜서 기판을 고정시키는 흡착기구를 구비하고,상기 기판 표면과 대향하는 위치에 상기 표면으로부터 공간을 두고 보조 온도제어체가 배치되고,상기 보조 온도제어체는 상기 기판을 피복하는 면을 갖고 또한 상기 온도제어체의 온도와 대략 동일한 온도를 유지하는 것을 특징으로 하는 기판온도 제어장치.
- 제1항에 있어서,상기 온도제어체는 상기 기판을 가열하기 위한 가열체인 것을 특징으로 하는 기판온도 제어장치.
- 제1항에 있어서,상기 온도제어체는 상기 기판을 냉각하기 위한 냉각체인 것을 특징으로 하는 기판온도 제어장치.
- 제1항에 있어서,상기 흡착기구는 상기 기판과 상기 온도제어체로 둘러싸인 공간을 부압으로 흡착하기 위한 진공흡착기구이고,상기 온도제어체는 상기 여러개의 돌기부를 둘러싸도록 배치된 진공봉지를 위한 적어도 하나의 외주부를 더 구비하고,상기 진공흡착기구는 상기 기판을 상기 적어도 하나의 외주부와 접촉시켜서 부압을 유지하는 것을 특징으로 하는 기판온도 제어장치.
- 제4항에 있어서,상기 진공흡착기구는 상기 기판과 상기 온도제어체로 둘러싸인 공간의 압력을 일정 레벨로 유지하는 압력조정수단을 포함하는 것을 특징으로 하는 기판온도 제어장치.
- 제5항에 있어서,상기 기판과 상기 온도제어체로 둘러싸인 공간의 압력은 700Torr∼10Torr 사이의 소정값으로 유지되어 있는 것을 특징으로 하는 기판온도 제어장치.
- 제4항에 있어서,상기 적어도 하나의 외주부는 여러개의 동심원형상의 진공봉지로 이루어지는 것을 특징으로 하는 기판온도 제어장치.
- 진공흡착기구가 흡착을 개시하고 난 후에 돌기부상에 기판을 고정시키는 스텝을 포함하는 특허청구범위 제4항에 기재된 기판온도 제어장치를 사용한 것을 특징으로 하는 기판온도 제어방법.
- 제4항에 있어서,상기 보조 온도제어체에 가열소자가 배치되는 것을 특징으로 하는 기판온도 제어장치.
- 제1항에 있어서,상기 보조 온도제어체는 상기 온도제어체를 초과하는 열용량을 갖는 것을 특징으로 하는 기판온도 제어장치.
- 제1항에 있어서,상기 기판을 상기 온도제어체에 흡착 고정시킨 상태에서 상기 온도제어체의 상기 기판으로의 접촉면적은 상기 기판의 이면면적의 60%∼0.5%의 범위내인 것을 특징으로 하는 기판온도 제어장치.
- 제11항에 있어서,상기 기판을 상기 온도제어체에 흡착 고정시킨 상태에서 상기 온도제어체의 상기 기판으로의 접촉면적은 상기 기판의 이면면적의 50%∼20%의 범위내인 것을 특징으로 하는 기판온도 제어장치.
- 제11항에 있어서,상기 돌기부는 높이가 1㎜∼1㎛의 범위내인 것을 특징으로 하는 기판온도 제어장치.
- 제13항에 있어서,상기 온도제어체에서 상기 기판으로의 열전달은 상기 여러개의 돌기부와 접촉되어 잇는 기판의 부분 또는 기체에 의해 상기 여러개의 돌기부와 접촉하고 있지 않은 기판의 부분을 거쳐서 실행되는 것을 특징으로 하는 기판온도 제어장치.
- 제10항에 있어서,상기 돌기부는 상기 온도제어체의 표면의 소정의 범위내에 소정의 간격으로 배치되어 것을 특징으로 하는 기판온도 제어장치.
- 제1항에 있어서,상기 기판과 상기 보조 온도제어체 사이에 개재된 공간의 측면을 차단하는 셔터를 더 포함하는 것을 특징으로 하는 기판온도 제어장치.
- 제1항에 있어서,상기 온도제어체는 4플루오로화 에틸렌수지를 표면에 코팅한 금속으로 이루어지는 것을 특징으로 하는 기판온도 제어장치.
- 제1항에 있어서,상기 온도제어체에서 상기 기판으로의 열전달은 상기 여러개의 돌기부와 접촉되어 있는 기판의 부분 또는 기체에 의해 상기 여러개의 돌기부와 접촉하고 있지 않은 기판의 부분을 거쳐서 실행되는 것을 특징으로 하는 기판온도 제어장치.
- 제1항에 있어서,상기 보조 온도제어체에 가열소자가 배치되는 것을 특징으로 하는 기판온도 제어장치.
- 그의 표면상에 여러개의 돌기부를 갖고 기판의 온도를 설정하는 온도 제어체,상기 온도제어체를 향해서 기판을 흡착하는 것에 의해 상기 여러개의 돌기부와 접촉시켜서 기판을 고정시키는 흡착기구 및상기 기판 표면과 대향하는 위치에 상기 표면으로부터 공간을 두고 배치된 보조 온도제어체를 포함하고,온도승온시간은 상기 기판이 상기 온도제어체에 고정된 경우의 제1 시간에서 상기 기판이 15초 미만인 바람직한 온도의 99%의 온도에 도달하는 경우의 제2 시간으로 산출되는 것을 특징으로 하는 기판온도 제어장치.
- 제20항에 있어서,상기 기판은 반도체웨이퍼인 것을 특징으로 하는 기판온도 제어장치.
- 그의 표면상에 여러개의 주사위형상의 돌기부를 갖고 기판의 온도를 설정하는 온도제어체 및 상기 온도제어체를 향해서 기판을 흡착하는 것에 의해 상기 여러개의 주사위형상의 돌기부와 접촉시켜서 기판을 고정시키는 흡착기구를 구비하고,상기 온도제어체는 상기 여러개의 주사위형상의 돌기부를 둘러싸기 위해 링형상의 돌기부를 갖는 것을 특징으로 하는 기판온도 제어장치.
- 그의 표면상에 여러개의 주사위형상의 돌기부를 갖고 기판의 온도를 설정하는 온도제어체 및 상기 온도제어체를 향해서 기판을 흡착하는 것에 의해 상기 여러개의 주사위형상의 돌기부와 접촉시켜서 기판을 고정시키는 흡착기구를 구비하고,상기 온도제어체는 상기 여러개의 주사위형상의 돌기부를 둘러싸기 위해 여러개의 링형상의 돌기부를 갖고,상기 여러개의 링형상의 돌기부는 서로 격리되어 있는 것을 특징으로 하는 기판온도 제어장치.
- 제23항에 있어서,상기 여러개의 링형상의 돌기부 사이에 배기용 구멍이 배치되어 있는 것을 특징으로 하는 기판온도 제어장치.
- 제23항에 있어서,상기 링형상의 돌기부의 높이는 1mm~1㎛인 것을 특징으로 하는 기판온도 제어장치.
- 그의 표면상에 여러개의 돌기부를 갖고 기판의 온도를 설정하는 온도 제어체 및 상기 온도제어체를 향해서 기판을 흡착하는 것에 의해 상기 여러개의 돌기부와 접촉시켜서 기판을 고정시키는 흡착기구를 포함하는 기판온도제어장치를 사용하는 것에 의해 기판을 처리하는 방법에 있어서,상기 기판을 상기 온도제어체에 흡착 고정시킨 상태에서 상기 온도제어체의 상기 기판으로의 접촉면적은 상기 기판의 이면면적의 60%~0.5%의 범위이고,상기 기판에 레지스트를 도포하는 공정과상기 레지스트가 도포된 기판을 상기 흡착기구를 사용해서 상기 돌기부상에 고정시키고 난 후에 상기 기판의 온도제어를 실행하는 공정을 포함하는 것을 특징으로 하는 기판처리방법.
- 그의 표면상에 여러개의 돌기부를 갖고 기판의 온도를 설정하는 온도제어체 및 상기 온도제어체의 방향을 향해서 기판을 흡착하는 것에 의해 상기 여러개의 돌기부와 접촉시켜서 기판을 고정시키는 흡착기구를 사용하는 것에 의해 기판을 처리하는 방법에 있어서,상기 기판을 상기 온도제어체에 흡착 고정시킨 상태에서 상기 온도제어체의 상기 기판으로의 접촉면적은 상기 기판의 이면면적의 60%~0.5%의 범위이고,상기 기판에 화학증폭형 레지스트를 도포하는 공정,자외선, 전자선 및 X선 중의 어느 하나를 선택해서 상기 기판상의 화학증폭형 레지스트를 노출시키는 공정 및상기 레지스트가 도포된 기판을 상기 흡착기구를 사용해서 상기 돌기부상에 고정시키고 난 후에 상기 기판의 온도제어를 실행하는 공정을 포함하는 것을 특징으로 하는 기판처리방법.
- 반도체웨이퍼를 처리하는 처리실을 구비하는 반도체처리장치에 있어서,상기 처리실은 그의 표면상에 여러개의 돌기부를 갖고 기판의 온도를 설정하는 온도제어체 및 상기 온도제어체의 방향을 향해서 기판을 흡착하는 것에 의해 상기 여러개의 돌기부와 접촉시켜서 기판을 고정시키는 흡착기구를 구비하고,상기 기판을 상기 온도제어체에 흡착 고정시킨 상태에서 상기 온도제어체의 상기 기판으로의 접촉면적은 상기 기판의 이면면적의 60%∼0.5%의 범위이고,상기 기판은 반도체웨이퍼인 것을 특징으로 하는 반도체처리장치.
- 기판온도 제어장치와 자외선 노출장치, 전자선장치 및 X선 노출장치 중의 어느 하나의 장치를 일체화해서 생성된 반도체 처리장치로서,상기 기판 온도제어장치는 그의 표면상에 여러개의 돌기부를 갖고 기판의 온도를 설정하는 온도제어체 및 상기 온도제어체의 방향을 향해서 기판을 흡착하는 것에 의해 상기 여러개의 돌기부와 접촉시켜서 기판을 고정시키는 흡착기구를 구비하고,상기 기판을 상기 온도제어체에 흡착 고정시킨 상태에서 상기 온도제어체의 상기 기판으로의 접촉면적은 상기 기판의 이면면적의 60%∼0.5%의 범위이고,온도제어될 상기 기판은 레지스트 도포된 반도체웨이퍼인 것을 특징으로 하는 반도체처리장치.
- 반도체웨이퍼상에 레지스트를 도포하는 공정;자외선, 전자선 및 X선중의 하나를 선택하는 것에 의해 기판상의 레지스트의 노출과 묘화중의 하나를 실행하는 공정 및;그의 표면상에 여러개의 돌기부를 갖고 기판의 온도를 설정하는 온도제어체 및 상기 온도제어체의 방향을 향해서 기판을 흡착하는 것에 의해 상기 여러개의 돌기부와 접촉시켜서 기판을 고정시키는 흡착기구를 구비하는 기판온도 제어장치를 사용해서 상기 노출과 묘화중의 어느 하나를 실행한 후에 상기 반도체웨이퍼의 후 노출 베이크를 실행하는 공정을 포함하고,상기 기판을 상기 온도제어체에 흡착 고정시킨 상태에서 상기 온도제어체의 상기 기판으로의 접촉면적은 상기 기판의 이면면적의 60%∼0.5%의 범위이고,상기 기판은 반도체웨이퍼인 것을 특징으로 하는 반도체의 제조방법.
- 여러개의 돌기부를 표면에 배치한 기판온도설정을 위한 온도제어체 및기판을 상기 온도제어체의 방향으로 흡착하는 것에 의해서 상기 기판을 돌기부상에 고정시켜서 상기 기판의 형상을 상기 온도제어체의 형상으로 교정하는 흡착기구를 구비해서 이루어지는 것을 특징으로 하는 기판온도 제어장치.
- 특허청구범위 제31항에 기재된 기판온도 제어장치를 사용한 기판처리 방법으로서,기판에 레지스트를 도포하는 공정 및상기 레지스트가 도포된 기판을 상기 기판온도 제어장치의 흡착기구를 사용해서 돌기부상에 탑재하고, 그 후, 기판의 온도제어를 실행하는 공정을 갖는 것을 특징으로 하는 기판처리방법.
- 특허청구범위 제31항에 기재된 기판온도 제어장치를 사용한 기판처리 방법으로서,기판에 화학증폭형 레지스트를 도포하는 공정;자외선, 전자선 및 X선중의 어느 하나를 선택해서 상기 기판상의 화학증폭형 레지스트를 노출시키는 공정 및노출후의 기판을 상기 기판온도 제어장치의 흡착기구에 의해서 돌기부상에 탑재하고, 그 후, 기판의 온도제어를 실행하는 공정을 갖는 것을 특징으로 하는 기판 처리방법.
- 기판이 반도체웨이퍼이고, 상기 반도체웨이퍼에 레지스트를 도포하는 공정 및자외선, 전자선 및 X선중의 어느 하나를 선택해서 상기 기판상의 레지스트를 노출 또는 묘화하는 공정 및노출 또는 묘화후의 기판의 후노출 베이크를 특허청구범위 제31항에 기재된 기판온도 제어장치를 사용해서 실행하는 공정을 갖는 것을 특징으로 하는 반도체장치의 제조방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP97-83920 | 1997-04-02 | ||
JP9083920A JPH10284360A (ja) | 1997-04-02 | 1997-04-02 | 基板温度制御装置及び方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR19980080844A KR19980080844A (ko) | 1998-11-25 |
KR100519613B1 true KR100519613B1 (ko) | 2005-12-30 |
Family
ID=13816049
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR10-1998-0010965A KR100519613B1 (ko) | 1997-04-02 | 1998-03-30 | 기판온도제어장치및방법 |
Country Status (4)
Country | Link |
---|---|
US (2) | US6394797B1 (ko) |
JP (1) | JPH10284360A (ko) |
KR (1) | KR100519613B1 (ko) |
TW (1) | TW376543B (ko) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101557141B1 (ko) | 2015-07-20 | 2015-10-05 | 주식회사 나래나노텍 | 개선된 기판 흡착용 에어 배관 장치, 및 이를 구비한 기판 흡착 스테이지, 흡착 방법, 및 코팅 장치 |
KR20180078899A (ko) * | 2016-12-30 | 2018-07-10 | 세메스 주식회사 | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
KR20220078678A (ko) * | 2019-10-11 | 2022-06-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 공간적 멀티-웨이퍼 프로세싱 툴을 위한 페데스탈 히터 |
Families Citing this family (295)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH10284360A (ja) * | 1997-04-02 | 1998-10-23 | Hitachi Ltd | 基板温度制御装置及び方法 |
JP2000077318A (ja) * | 1998-08-26 | 2000-03-14 | Tokyo Electron Ltd | 熱処理装置 |
KR100700764B1 (ko) * | 1999-09-03 | 2007-03-27 | 동경 엘렉트론 주식회사 | 기판처리장치 및 기판처리방법 |
US6705394B1 (en) * | 1999-10-29 | 2004-03-16 | Cvc Products, Inc. | Rapid cycle chuck for low-pressure processing |
JP4522527B2 (ja) * | 2000-03-06 | 2010-08-11 | キヤノンアネルバ株式会社 | 半導体製造装置における基板搭載方法 |
JP2002025758A (ja) * | 2000-05-02 | 2002-01-25 | Ibiden Co Ltd | ホットプレートユニット |
US6838115B2 (en) * | 2000-07-12 | 2005-01-04 | Fsi International, Inc. | Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices |
JP4328003B2 (ja) * | 2000-10-19 | 2009-09-09 | 日本碍子株式会社 | セラミックヒーター |
US6685467B1 (en) | 2000-10-24 | 2004-02-03 | Advanced Micro Devices, Inc. | System using hot and cold fluids to heat and cool plate |
US6634882B2 (en) * | 2000-12-22 | 2003-10-21 | Asm America, Inc. | Susceptor pocket profile to improve process performance |
JP2002353102A (ja) * | 2001-05-23 | 2002-12-06 | Hitachi Ltd | 半導体装置の製造方法 |
JP3781347B2 (ja) * | 2001-05-23 | 2006-05-31 | 住友重機械工業株式会社 | ウエハーチャック |
WO2002097872A1 (fr) * | 2001-05-31 | 2002-12-05 | Shin-Etsu Handotai Co., Ltd. | Procede de production d'une tranche de semi-conducteur et suscepteur utilise a cet effet |
US7160105B2 (en) * | 2001-06-01 | 2007-01-09 | Litrex Corporation | Temperature controlled vacuum chuck |
KR100882520B1 (ko) * | 2001-06-01 | 2009-02-06 | 가부시키가이샤 아루박 | 해상도를 개선하기 위한 미세 증착 제어 시스템에서의오버-클록킹 |
US7033445B2 (en) * | 2001-12-27 | 2006-04-25 | Asm America, Inc. | Gridded susceptor |
US6771086B2 (en) * | 2002-02-19 | 2004-08-03 | Lucas/Signatone Corporation | Semiconductor wafer electrical testing with a mobile chiller plate for rapid and precise test temperature control |
JP3791432B2 (ja) * | 2002-02-27 | 2006-06-28 | 住友電気工業株式会社 | 半導体製造用加熱装置 |
US6646233B2 (en) * | 2002-03-05 | 2003-11-11 | Hitachi High-Technologies Corporation | Wafer stage for wafer processing apparatus and wafer processing method |
US20030168174A1 (en) * | 2002-03-08 | 2003-09-11 | Foree Michael Todd | Gas cushion susceptor system |
US6597964B1 (en) * | 2002-05-08 | 2003-07-22 | Taiwan Semiconductor Manufacturing Co., Ltd | Thermocoupled lift pin system for etching chamber |
JP2004055722A (ja) * | 2002-07-18 | 2004-02-19 | Renesas Technology Corp | 洗浄装置、基板の洗浄方法および半導体装置の製造方法 |
US7452712B2 (en) * | 2002-07-30 | 2008-11-18 | Applied Biosystems Inc. | Sample block apparatus and method of maintaining a microcard on a sample block |
US20040053514A1 (en) * | 2002-08-27 | 2004-03-18 | Ali Shajii | Apparatus for cooling a substrate through thermal conduction in the viscous regime |
WO2004025710A2 (en) * | 2002-09-10 | 2004-03-25 | Axcelis Technologies, Inc. | Method of heating a substrate in a variable temperature process using a fixed temperature chuck |
EP1540259A2 (en) * | 2002-09-10 | 2005-06-15 | FSI International, Inc. | Thermal process station with heated lid |
US6709267B1 (en) | 2002-12-27 | 2004-03-23 | Asm America, Inc. | Substrate holder with deep annular groove to prevent edge heat loss |
US20040187787A1 (en) * | 2003-03-31 | 2004-09-30 | Dawson Keith E. | Substrate support having temperature controlled substrate support surface |
US7221553B2 (en) * | 2003-04-22 | 2007-05-22 | Applied Materials, Inc. | Substrate support having heat transfer system |
US20060105182A1 (en) * | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Erosion resistant textured chamber surface |
US7993460B2 (en) | 2003-06-30 | 2011-08-09 | Lam Research Corporation | Substrate support having dynamic temperature control |
US7013956B2 (en) * | 2003-09-02 | 2006-03-21 | Thermal Corp. | Heat pipe evaporator with porous valve |
US20050067146A1 (en) * | 2003-09-02 | 2005-03-31 | Thayer John Gilbert | Two phase cooling system method for burn-in testing |
US20050067147A1 (en) * | 2003-09-02 | 2005-03-31 | Thayer John Gilbert | Loop thermosyphon for cooling semiconductors during burn-in testing |
US7129731B2 (en) * | 2003-09-02 | 2006-10-31 | Thermal Corp. | Heat pipe with chilled liquid condenser system for burn-in testing |
US20050226779A1 (en) | 2003-09-19 | 2005-10-13 | Oldham Mark F | Vacuum assist for a microplate |
US20050221358A1 (en) * | 2003-09-19 | 2005-10-06 | Carrillo Albert L | Pressure chamber clamp mechanism |
US7410355B2 (en) * | 2003-10-31 | 2008-08-12 | Asm International N.V. | Method for the heat treatment of substrates |
US7663860B2 (en) | 2003-12-05 | 2010-02-16 | Tokyo Electron Limited | Electrostatic chuck |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
JP4636807B2 (ja) * | 2004-03-18 | 2011-02-23 | キヤノン株式会社 | 基板保持装置およびそれを用いた露光装置 |
JP4765328B2 (ja) * | 2004-04-16 | 2011-09-07 | 東京エレクトロン株式会社 | 被処理体の処理装置 |
US7253125B1 (en) | 2004-04-16 | 2007-08-07 | Novellus Systems, Inc. | Method to improve mechanical strength of low-k dielectric film using modulated UV exposure |
DE102004024649B4 (de) * | 2004-05-18 | 2007-02-01 | Thallner, Erich, Dipl.-Ing. | Justiereinrichtung und Vorrichtung zum Justieren eines Wafers |
US9659769B1 (en) | 2004-10-22 | 2017-05-23 | Novellus Systems, Inc. | Tensile dielectric films using UV curing |
US7532310B2 (en) * | 2004-10-22 | 2009-05-12 | Asml Netherlands B.V. | Apparatus, method for supporting and/or thermally conditioning a substrate, a support table, and a chuck |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
JP4488867B2 (ja) * | 2004-11-05 | 2010-06-23 | 株式会社東芝 | パターン形成方法 |
US20070295276A1 (en) * | 2004-12-22 | 2007-12-27 | Sokudo Co., Ltd. | Bake plate having engageable thermal mass |
US7274005B2 (en) * | 2004-12-22 | 2007-09-25 | Sokudo Co., Ltd. | Bake plate having engageable thermal mass |
US7601934B2 (en) * | 2004-12-22 | 2009-10-13 | Sokudo Co., Ltd. | Integrated thermal unit having a shuttle with a temperature controlled surface |
US7741585B2 (en) * | 2004-12-22 | 2010-06-22 | Sokudo Co., Ltd. | Integrated thermal unit having a shuttle with two-axis movement |
US20060144337A1 (en) * | 2005-01-06 | 2006-07-06 | Hsien-Che Teng | Heater for heating a wafer and method for preventing contamination of the heater |
EP1866465A2 (en) | 2005-01-18 | 2007-12-19 | ASM America, Inc. | Reaction system for growing a thin film |
US7510982B1 (en) | 2005-01-31 | 2009-03-31 | Novellus Systems, Inc. | Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles |
US7166531B1 (en) | 2005-01-31 | 2007-01-23 | Novellus Systems, Inc. | VLSI fabrication processes for introducing pores into dielectric materials |
JP4421501B2 (ja) * | 2005-03-25 | 2010-02-24 | 東京エレクトロン株式会社 | 加熱装置、塗布、現像装置及び加熱方法 |
JP2006292535A (ja) * | 2005-04-11 | 2006-10-26 | Omron Corp | 距離推定装置、異常検出装置、温度調節器および熱処理装置 |
US8282768B1 (en) | 2005-04-26 | 2012-10-09 | Novellus Systems, Inc. | Purging of porogen from UV cure chamber |
US8454750B1 (en) | 2005-04-26 | 2013-06-04 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8889233B1 (en) | 2005-04-26 | 2014-11-18 | Novellus Systems, Inc. | Method for reducing stress in porous dielectric films |
US8980769B1 (en) | 2005-04-26 | 2015-03-17 | Novellus Systems, Inc. | Multi-station sequential curing of dielectric films |
US8137465B1 (en) * | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US7694583B2 (en) * | 2005-05-05 | 2010-04-13 | Control Gaging, Inc. | Gripper gage assembly |
US20100270004A1 (en) * | 2005-05-12 | 2010-10-28 | Landess James D | Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates |
JP4666473B2 (ja) * | 2005-05-12 | 2011-04-06 | 大日本スクリーン製造株式会社 | 基板熱処理装置 |
US7941039B1 (en) | 2005-07-18 | 2011-05-10 | Novellus Systems, Inc. | Pedestal heat transfer and temperature control |
US20070077326A1 (en) * | 2005-09-30 | 2007-04-05 | Smith Kristina L | Childproof Press for Fusing Beads |
US7470919B2 (en) * | 2005-09-30 | 2008-12-30 | Applied Materials, Inc. | Substrate support assembly with thermal isolating plate |
US8398816B1 (en) | 2006-03-28 | 2013-03-19 | Novellus Systems, Inc. | Method and apparatuses for reducing porogen accumulation from a UV-cure chamber |
US8003919B2 (en) | 2005-12-06 | 2011-08-23 | Dainippon Screen Mfg. Co., Ltd. | Substrate heat treatment apparatus |
JP2007158076A (ja) * | 2005-12-06 | 2007-06-21 | Dainippon Screen Mfg Co Ltd | 基板熱処理装置 |
JP2007158075A (ja) * | 2005-12-06 | 2007-06-21 | Dainippon Screen Mfg Co Ltd | 基板熱処理装置 |
JP2007158077A (ja) * | 2005-12-06 | 2007-06-21 | Dainippon Screen Mfg Co Ltd | 基板熱処理装置 |
JP4666496B2 (ja) | 2005-12-07 | 2011-04-06 | 大日本スクリーン製造株式会社 | 基板熱処理装置 |
JP4755498B2 (ja) * | 2006-01-06 | 2011-08-24 | 東京エレクトロン株式会社 | 加熱装置及び加熱方法 |
JP4707593B2 (ja) | 2006-03-23 | 2011-06-22 | 大日本スクリーン製造株式会社 | 熱処理装置と基板吸着方法 |
US20070237897A1 (en) * | 2006-03-28 | 2007-10-11 | Erich Thallner | Device and method for coating a microstructured and/or nanostructured structural substrate |
EP1840940B8 (de) * | 2006-03-28 | 2014-11-26 | Thallner, Erich, Dipl.-Ing. | Vorrichtung und Verfahren zum Beschichten eines mikro- und/oder nanostrukturierten Struktursubstrats |
US8226769B2 (en) * | 2006-04-27 | 2012-07-24 | Applied Materials, Inc. | Substrate support with electrostatic chuck having dual temperature zones |
JP4781901B2 (ja) | 2006-05-08 | 2011-09-28 | 東京エレクトロン株式会社 | 熱処理方法,プログラム及び熱処理装置 |
JP2007329008A (ja) * | 2006-06-07 | 2007-12-20 | Tokyo Electron Ltd | 熱板及びその製造方法 |
JP4805741B2 (ja) * | 2006-07-14 | 2011-11-02 | Okiセミコンダクタ株式会社 | 半導体製造装置および半導体装置の製造方法 |
US9275887B2 (en) | 2006-07-20 | 2016-03-01 | Applied Materials, Inc. | Substrate processing with rapid temperature gradient control |
US7851232B2 (en) | 2006-10-30 | 2010-12-14 | Novellus Systems, Inc. | UV treatment for carbon-containing low-k dielectric repair in semiconductor processing |
US8465991B2 (en) | 2006-10-30 | 2013-06-18 | Novellus Systems, Inc. | Carbon containing low-k dielectric constant recovery using UV treatment |
US10037905B2 (en) | 2009-11-12 | 2018-07-31 | Novellus Systems, Inc. | UV and reducing treatment for K recovery and surface clean in semiconductor processing |
US20100267231A1 (en) * | 2006-10-30 | 2010-10-21 | Van Schravendijk Bart | Apparatus for uv damage repair of low k films prior to copper barrier deposition |
US7563007B2 (en) * | 2006-12-04 | 2009-07-21 | Ford Global Technologies, Llc | Bumper cover and lamp housing assembly with integral fasteners for independent removal of parts from a vehicle |
US7906174B1 (en) | 2006-12-07 | 2011-03-15 | Novellus Systems, Inc. | PECVD methods for producing ultra low-k dielectric films using UV treatment |
US7960297B1 (en) | 2006-12-07 | 2011-06-14 | Novellus Systems, Inc. | Load lock design for rapid wafer heating |
US20080160462A1 (en) * | 2007-01-03 | 2008-07-03 | Sokudo Co., Ltd. | Method and system for bake plate heat transfer control in track lithography tools |
JP4899879B2 (ja) * | 2007-01-17 | 2012-03-21 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
JP2008218738A (ja) * | 2007-03-05 | 2008-09-18 | Espec Corp | サーマルプレート、及び試験装置 |
US8242028B1 (en) | 2007-04-03 | 2012-08-14 | Novellus Systems, Inc. | UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement |
US7622162B1 (en) | 2007-06-07 | 2009-11-24 | Novellus Systems, Inc. | UV treatment of STI films for increasing tensile stress |
US8211510B1 (en) | 2007-08-31 | 2012-07-03 | Novellus Systems, Inc. | Cascaded cure approach to fabricate highly tensile silicon nitride films |
US7649729B2 (en) * | 2007-10-12 | 2010-01-19 | Applied Materials, Inc. | Electrostatic chuck assembly |
US8052419B1 (en) | 2007-11-08 | 2011-11-08 | Novellus Systems, Inc. | Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation |
JP4457242B2 (ja) * | 2007-11-30 | 2010-04-28 | Okiセミコンダクタ株式会社 | 半導体装置の製造方法 |
WO2009072252A1 (ja) * | 2007-12-06 | 2009-06-11 | Shin-Etsu Handotai Co., Ltd. | 気相成長用サセプタおよび気相成長装置 |
US8426778B1 (en) | 2007-12-10 | 2013-04-23 | Novellus Systems, Inc. | Tunable-illumination reflector optics for UV cure system |
US7935940B1 (en) | 2008-01-08 | 2011-05-03 | Novellus Systems, Inc. | Measuring in-situ UV intensity in UV cure tool |
US8283644B2 (en) | 2008-01-08 | 2012-10-09 | Novellus Systems, Inc. | Measuring in-situ UV intensity in UV cure tool |
US8198567B2 (en) | 2008-01-15 | 2012-06-12 | Applied Materials, Inc. | High temperature vacuum chuck assembly |
SG156589A1 (en) * | 2008-04-29 | 2009-11-26 | United Test & Assembly Ct Lt | Oven control system and methods |
US8288288B1 (en) | 2008-06-16 | 2012-10-16 | Novellus Systems, Inc. | Transferring heat in loadlocks |
US20090312830A1 (en) * | 2008-06-17 | 2009-12-17 | Mcnulty Sean | Balloon arc profile control |
US8715331B2 (en) * | 2008-08-06 | 2014-05-06 | Boston Scientific Scimed, Inc. | Stent edge protection and methods |
US8652260B2 (en) * | 2008-08-08 | 2014-02-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for holding semiconductor wafers |
US9050623B1 (en) | 2008-09-12 | 2015-06-09 | Novellus Systems, Inc. | Progressive UV cure |
US8033771B1 (en) | 2008-12-11 | 2011-10-11 | Novellus Systems, Inc. | Minimum contact area wafer clamping with gas flow for rapid wafer cooling |
KR101691044B1 (ko) * | 2009-02-04 | 2016-12-29 | 맷슨 테크놀로지, 인크. | 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP5751895B2 (ja) | 2010-06-08 | 2015-07-22 | 株式会社日立国際電気 | 半導体装置の製造方法、クリーニング方法および基板処理装置 |
JP5542743B2 (ja) * | 2010-10-07 | 2014-07-09 | 東京エレクトロン株式会社 | 熱処理装置および熱処理方法 |
JP5810517B2 (ja) * | 2010-12-02 | 2015-11-11 | 富士電機株式会社 | 吸着装置および吸着方法 |
JP5299442B2 (ja) * | 2011-01-18 | 2013-09-25 | 東京エレクトロン株式会社 | 基板加熱装置、基板加熱方法及び記憶媒体 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8371567B2 (en) | 2011-04-13 | 2013-02-12 | Novellus Systems, Inc. | Pedestal covers |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
CN104040710B (zh) | 2012-01-06 | 2017-11-28 | 诺发系统公司 | 用于均匀传热的自适应传热方法和系统 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
DE102012022067A1 (de) | 2012-11-09 | 2014-05-15 | Centrotherm Photovoltaics Ag | Substrathalter sowie eine vorrichtung und ein verfahren zum behandeln von substraten |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US8970114B2 (en) | 2013-02-01 | 2015-03-03 | Lam Research Corporation | Temperature controlled window of a plasma processing chamber component |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
JP6049485B2 (ja) * | 2013-02-13 | 2016-12-21 | 新電元工業株式会社 | 半導体ウェーハの検査方法 |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9273413B2 (en) | 2013-03-14 | 2016-03-01 | Veeco Instruments Inc. | Wafer carrier with temperature distribution control |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
KR101878578B1 (ko) * | 2013-11-27 | 2018-07-13 | 도쿄엘렉트론가부시키가이샤 | 광학 프로젝션을 이용한 기판 튜닝 시스템 및 방법 |
US9645391B2 (en) | 2013-11-27 | 2017-05-09 | Tokyo Electron Limited | Substrate tuning system and method using optical projection |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
CN103760753B (zh) * | 2013-12-31 | 2017-04-12 | 深圳市华星光电技术有限公司 | 基板烘烤装置及其温度调节方法 |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
TWI661502B (zh) | 2014-02-27 | 2019-06-01 | 日商斯克林集團公司 | 基板處理裝置 |
JP6270268B2 (ja) * | 2014-02-27 | 2018-01-31 | 株式会社Screenホールディングス | 基板処理装置および基板処理方法 |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
SG10201810390TA (en) | 2014-05-21 | 2018-12-28 | Applied Materials Inc | Thermal processing susceptor |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US10832931B2 (en) * | 2014-05-30 | 2020-11-10 | Applied Materials, Inc. | Electrostatic chuck with embossed top plate and cooling channels |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
JP6851382B2 (ja) * | 2016-01-13 | 2021-03-31 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板を保持するための保持構成、基板を支持するためのキャリア、真空処理システム、基板を保持するための方法、及び基板を解放するための方法 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10347547B2 (en) | 2016-08-09 | 2019-07-09 | Lam Research Corporation | Suppressing interfacial reactions by varying the wafer temperature throughout deposition |
USD799646S1 (en) * | 2016-08-30 | 2017-10-10 | Asm Ip Holding B.V. | Heater block |
US10126053B2 (en) * | 2016-09-02 | 2018-11-13 | International Business Machines Corporation | Precision dual annealing apparatus |
US10570015B2 (en) | 2016-09-02 | 2020-02-25 | International Business Machines Corporation | Minimizing tin loss during thermal processing of kesterite films |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
JP6215426B1 (ja) * | 2016-09-21 | 2017-10-18 | オリジン電気株式会社 | 加熱装置及び板状部材の製造方法 |
US9847221B1 (en) | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
USD859484S1 (en) * | 2017-06-12 | 2019-09-10 | Asm Ip Holding B.V. | Heater block |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
CN108711556B (zh) * | 2018-05-25 | 2020-06-19 | 北京北方华创微电子装备有限公司 | 去气腔室以及去气方法 |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN111446185A (zh) | 2019-01-17 | 2020-07-24 | Asm Ip 控股有限公司 | 通风基座 |
USD914620S1 (en) | 2019-01-17 | 2021-03-30 | Asm Ip Holding B.V. | Vented susceptor |
USD920936S1 (en) | 2019-01-17 | 2021-06-01 | Asm Ip Holding B.V. | Higher temperature vented susceptor |
USD927575S1 (en) | 2019-01-18 | 2021-08-10 | Shinkawa Ltd. | Heater block for bonding apparatus |
JP7249814B2 (ja) * | 2019-03-04 | 2023-03-31 | 株式会社Screenホールディングス | 熱処理装置および熱処理方法 |
US11404302B2 (en) | 2019-05-22 | 2022-08-02 | Asm Ip Holding B.V. | Substrate susceptor using edge purging |
US11764101B2 (en) | 2019-10-24 | 2023-09-19 | ASM IP Holding, B.V. | Susceptor for semiconductor substrate processing |
US20220049350A1 (en) * | 2020-08-13 | 2022-02-17 | Applied Materials, Inc. | Apparatus design for photoresist deposition |
USD1031676S1 (en) | 2020-12-04 | 2024-06-18 | Asm Ip Holding B.V. | Combined susceptor, support, and lift system |
Family Cites Families (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4037830A (en) | 1976-09-07 | 1977-07-26 | International Business Machines Corporation | Wafer handler |
USRE31053E (en) | 1978-01-23 | 1982-10-12 | Bell Telephone Laboratories, Incorporated | Apparatus and method for holding and planarizing thin workpieces |
US4213698A (en) | 1978-12-01 | 1980-07-22 | Bell Telephone Laboratories, Incorporated | Apparatus and method for holding and planarizing thin workpieces |
US4518848A (en) * | 1981-05-15 | 1985-05-21 | Gca Corporation | Apparatus for baking resist on semiconductor wafers |
US4551192A (en) | 1983-06-30 | 1985-11-05 | International Business Machines Corporation | Electrostatic or vacuum pinchuck formed with microcircuit lithography |
JPS6216509A (ja) | 1985-07-15 | 1987-01-24 | Seiko Instr & Electronics Ltd | 半導体装置用基板の製造方法 |
JPS6245378A (ja) | 1985-08-23 | 1987-02-27 | Hitachi Ltd | 塗布装置 |
JPS6253773A (ja) | 1985-08-30 | 1987-03-09 | Nec Corp | 有機膜塗布装置 |
JP3020523B2 (ja) | 1989-11-13 | 2000-03-15 | 株式会社日立製作所 | 図形露光装置とその方法 |
US5094885A (en) | 1990-10-12 | 1992-03-10 | Genus, Inc. | Differential pressure cvd chuck |
US5252807A (en) * | 1990-07-02 | 1993-10-12 | George Chizinsky | Heated plate rapid thermal processor |
JP3604706B2 (ja) | 1992-07-23 | 2004-12-22 | キヤノン株式会社 | 成膜方法 |
JPH06244269A (ja) | 1992-09-07 | 1994-09-02 | Mitsubishi Electric Corp | 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法 |
US5343012A (en) * | 1992-10-06 | 1994-08-30 | Hardy Walter N | Differentially pumped temperature controller for low pressure thin film fabrication process |
JPH06158361A (ja) | 1992-11-20 | 1994-06-07 | Hitachi Ltd | プラズマ処理装置 |
US5460684A (en) | 1992-12-04 | 1995-10-24 | Tokyo Electron Limited | Stage having electrostatic chuck and plasma processing apparatus using same |
US5382311A (en) | 1992-12-17 | 1995-01-17 | Tokyo Electron Limited | Stage having electrostatic chuck and plasma processing apparatus using same |
US5800686A (en) * | 1993-04-05 | 1998-09-01 | Applied Materials, Inc. | Chemical vapor deposition chamber with substrate edge protection |
US5738165A (en) | 1993-05-07 | 1998-04-14 | Nikon Corporation | Substrate holding apparatus |
US5484485A (en) | 1993-10-29 | 1996-01-16 | Chapman; Robert A. | Plasma reactor with magnet for protecting an electrostatic chuck from the plasma |
US5588827A (en) * | 1993-12-17 | 1996-12-31 | Brooks Automation Inc. | Passive gas substrate thermal conditioning apparatus and method |
EP0671662B1 (en) | 1994-02-24 | 1999-01-20 | Nec Corporation | Method for developing a resist pattern |
TW320749B (ko) | 1994-09-22 | 1997-11-21 | Tokyo Electron Co Ltd | |
US5762714A (en) | 1994-10-18 | 1998-06-09 | Applied Materials, Inc. | Plasma guard for chamber equipped with electrostatic chuck |
JPH0945624A (ja) * | 1995-07-27 | 1997-02-14 | Tokyo Electron Ltd | 枚葉式の熱処理装置 |
US5730803A (en) | 1996-02-23 | 1998-03-24 | Applied Materials, Inc. | Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body |
US6245202B1 (en) * | 1996-04-12 | 2001-06-12 | Hitachi, Ltd. | Plasma treatment device |
US5720818A (en) | 1996-04-26 | 1998-02-24 | Applied Materials, Inc. | Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck |
JP3154324B2 (ja) | 1996-05-15 | 2001-04-09 | トヨタ自動車株式会社 | 内燃機関の蒸発燃料処理装置 |
US5820723A (en) | 1996-06-05 | 1998-10-13 | Lam Research Corporation | Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
US5904779A (en) | 1996-12-19 | 1999-05-18 | Lam Research Corporation | Wafer electrical discharge control by wafer lifter system |
JPH10284360A (ja) * | 1997-04-02 | 1998-10-23 | Hitachi Ltd | 基板温度制御装置及び方法 |
-
1997
- 1997-04-02 JP JP9083920A patent/JPH10284360A/ja active Pending
-
1998
- 1998-03-24 TW TW087104403A patent/TW376543B/zh active
- 1998-03-30 KR KR10-1998-0010965A patent/KR100519613B1/ko not_active IP Right Cessation
-
2000
- 2000-03-16 US US09/526,460 patent/US6394797B1/en not_active Expired - Fee Related
-
2002
- 2002-02-28 US US10/084,378 patent/US6518548B2/en not_active Expired - Fee Related
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101557141B1 (ko) | 2015-07-20 | 2015-10-05 | 주식회사 나래나노텍 | 개선된 기판 흡착용 에어 배관 장치, 및 이를 구비한 기판 흡착 스테이지, 흡착 방법, 및 코팅 장치 |
KR20180078899A (ko) * | 2016-12-30 | 2018-07-10 | 세메스 주식회사 | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
KR20220078678A (ko) * | 2019-10-11 | 2022-06-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 공간적 멀티-웨이퍼 프로세싱 툴을 위한 페데스탈 히터 |
KR102740388B1 (ko) * | 2019-10-11 | 2024-12-06 | 어플라이드 머티어리얼스, 인코포레이티드 | 공간적 멀티-웨이퍼 프로세싱 툴을 위한 페데스탈 히터 |
Also Published As
Publication number | Publication date |
---|---|
TW376543B (en) | 1999-12-11 |
KR19980080844A (ko) | 1998-11-25 |
US6394797B1 (en) | 2002-05-28 |
US20020113056A1 (en) | 2002-08-22 |
JPH10284360A (ja) | 1998-10-23 |
US6518548B2 (en) | 2003-02-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100519613B1 (ko) | 기판온도제어장치및방법 | |
JP4948587B2 (ja) | フォトレジスト塗布現像装置、基板搬送方法、インターフェイス装置 | |
US6753508B2 (en) | Heating apparatus and heating method | |
US8308381B2 (en) | Substrate processing method, computer-readable storage medium, and substrate processing system | |
US6129546A (en) | Heat process apparatus and heat process method | |
US7831135B2 (en) | Method and system for controlling bake plate temperature in a semiconductor processing chamber | |
US20050087129A1 (en) | Reduced-pressure drying unit and coating film forming method | |
US20070257085A1 (en) | Heat processing method, computer-readable storage medium, and heat processing apparatus | |
US20080153182A1 (en) | Method and system to measure and compensate for substrate warpage during thermal processing | |
JP2001274069A (ja) | レジストパターン形成方法及び半導体製造システム | |
JP2000114343A (ja) | 基板処理方法および基板搬送装置 | |
JP3755814B2 (ja) | 熱処理方法及び熱処理装置 | |
WO2006085527A1 (ja) | 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体 | |
JP3898895B2 (ja) | 加熱処理装置及び加熱処理方法 | |
JP3619876B2 (ja) | 加熱処理装置 | |
US11295952B2 (en) | Apparatus for treating substrate and method for treating apparatus | |
JP5104192B2 (ja) | レジスト塗布基板の熱処理装置及びその熱処理方法 | |
JP3589929B2 (ja) | 加熱処理装置 | |
KR102175073B1 (ko) | 기판 처리 장치 및 방법 | |
JP2014003164A (ja) | 半導体装置の製造方法及び半導体装置並びに半導体装置の製造システム | |
US8096805B2 (en) | Manufacturing apparatus for semiconductor device and manufacturing method of semiconductor device | |
JP3626284B2 (ja) | マスク基板の熱処理方法とその装置 | |
JP2001237155A (ja) | 加熱処理方法及び加熱処理装置 | |
US20240055281A1 (en) | Substrate processing method, method for controlling substrate processing method and substrate processing apparatus | |
JP2011210814A (ja) | 基板処理ユニット、基板処理方法および基板処理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0109 | Patent application |
Patent event code: PA01091R01D Comment text: Patent Application Patent event date: 19980330 |
|
PG1501 | Laying open of application | ||
A201 | Request for examination | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20030325 Comment text: Request for Examination of Application Patent event code: PA02011R01I Patent event date: 19980330 Comment text: Patent Application |
|
E701 | Decision to grant or registration of patent right | ||
PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20050630 |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20050929 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20050929 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
LAPS | Lapse due to unpaid annual fee | ||
PC1903 | Unpaid annual fee |